期刊文献+
共找到312篇文章
< 1 2 16 >
每页显示 20 50 100
Etching of quartz crystals in liquid phase environment:A review
1
作者 Yide Dong Yike Zhou +5 位作者 Haizhou Huang Bosong Zhang Xihan Li Kaiwen Chen Litao Sun Guangbin Dou 《Nanotechnology and Precision Engineering》 EI CAS CSCD 2024年第2期87-109,共23页
Quartz crystals are the most widely used material in resonant sensors,owing to their excellent piezoelectric and mechanical properties.With the development of portable and wearable devices,higher processing efficiency... Quartz crystals are the most widely used material in resonant sensors,owing to their excellent piezoelectric and mechanical properties.With the development of portable and wearable devices,higher processing efficiency and geometrical precision are required.Wet etching has been proven to be the most efficient etching method for large-scale production of quartz devices,and many wet etching approaches have been developed over the years.However,until now,there has been no systematic review of quartz crystal etching in liquid phase environments.Therefore,this article provides a comprehensive review of the development of wet etching processes and the achievements of the latest research in thisfield,covering conventional wet etching,additive etching,laser-induced backside wet etching,electrochemical etching,and electrochemical discharge machining.For each technique,a brief overview of its characteristics is provided,associated problems are described,and possible solutions are discussed.This review should provide an essential reference and guidance for the future development of processing strategies for the manufacture of quartz crystal devices. 展开更多
关键词 Quartz crystal Materials processing Wet etching MICROFABRICATION Quartz MEMS
下载PDF
A Multi-Scale Study on Silicon-Oxide Etching Processes in C_4F_8/Ar Plasmas 被引量:2
2
作者 眭佳星 张赛谦 +2 位作者 刘增 阎军 戴忠玲 《Plasma Science and Technology》 SCIE EI CAS CSCD 2016年第6期666-673,共8页
A multi-scale numerical method coupled with the reactor,sheath and trench model is constructed to simulate dry etching of SiO_2 in inductively coupled C_4F_8 plasmas.Firstly,ion and neutral particle densities in the r... A multi-scale numerical method coupled with the reactor,sheath and trench model is constructed to simulate dry etching of SiO_2 in inductively coupled C_4F_8 plasmas.Firstly,ion and neutral particle densities in the reactor are decided using the CFD-ACE+ commercial software.Then,the ion energy and angular distributions(IEDs and IADs) are obtained in the sheath model with the sheath boundary conditions provided with CFD-ACE+.Finally,the trench profile evolution is simulated in the trench model.What we principally focus on is the effects of the discharge parameters on the etching results.It is found that the discharge parameters,including discharge pressure,radio-frequency(rf) power,gas mixture ratios,bias voltage and frequency,have synergistic effects on IEDs and IADs on the etched material surface,thus further affecting the trench profiles evolution. 展开更多
关键词 plasma etching multi-scale model trench profile surface process
下载PDF
The etching process and mechanism analysis of Ta-Sb2Te3 film based on inductively coupled plasma
3
作者 Yongkang Xu Sannian Song +2 位作者 Wencheng Fang Chengxing Li Zhitang Song 《Journal of Semiconductors》 EI CAS CSCD 2020年第12期12-16,共5页
Compared to the conventional phase change materials,the new phase change material Ta-Sb2Te3 has the advantages of excellent data retention and good material stability.In this letter,the etching characteristics of Ta-S... Compared to the conventional phase change materials,the new phase change material Ta-Sb2Te3 has the advantages of excellent data retention and good material stability.In this letter,the etching characteristics of Ta-Sb2Te3 were studied by using CF4/Ar.The results showed that when CF4/Ar=25/25,the etching power was 600 W and the etching pressure was 2.5 Pa,the etching speed was up to 61 nm/min.The etching pattern of Ta-Sb2Te3 film had a smooth side wall and good perpendicularity(close to 90°),smooth surface of the etching(RMS was 0.51nm),and the etching uniformity was fine.Furthermore,the mechanism of this etching process was analyzed by X-ray photoelectron spectroscopy(XPS).The main damage mechanism of ICP etching in CF4/Ar was studied by X-ray diffraction(XRD). 展开更多
关键词 new phase change material inductively couple plasma etching process etching characteristics mechanism
下载PDF
Nano-scale gap filling and mechanism of deposit-etch-deposit process for phase-change material 被引量:1
4
作者 任万春 刘波 +4 位作者 宋志棠 向阳辉 王宗涛 张北超 封松林 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第11期335-339,共5页
Ge2Sb2Te5 gap filling is one of the key processes for phase-change random access memory manufacture. Physical vapor deposition is the mainstream method of Ge2Sb2Te5 film deposition due to its advantages of film qualit... Ge2Sb2Te5 gap filling is one of the key processes for phase-change random access memory manufacture. Physical vapor deposition is the mainstream method of Ge2Sb2Te5 film deposition due to its advantages of film quality, purity, and accurate composition control. However,the conventional physical vapor deposition process cannot meet the gap- filling requirement with the critical device dimension scaling down to 90 nm or below. In this study, we find that the deposit-etch-deposit process shows better gap-filling capability and scalability than the single-step deposition process, especially at the nano-scale critical dimension. The gap-filling mechanism of the deposit-etch-deposit process was briefly discussed. We also find that re-deposition of phase-change material from via the sidewall to via the bottom by argon ion bombardment during the etch step was a key ingredient for the final good gap filling. We achieve void-free gap filling of phase-change material on the 45-nm via the two-cycle deposit-etch-deposit process. We gain a rather comprehensive insight into the mechanism of deposit-etch-deposit process and propose a potential gap-filling solution for over 45-nm technology nodes for phase-change random access memory. 展开更多
关键词 deposit-etch deposit process single step deposit gap filling RE-DEPOSITION
下载PDF
A review of the etched terminal structure of a 4H-SiC PiN diode
5
作者 Hang Zhou Jingrong Yan +8 位作者 Jialin Li Huan Ge Tao Zhu Bingke Zhang Shucheng Chang Junmin Sun Xue Bai Xiaoguang Wei Fei Yang 《Journal of Semiconductors》 EI CAS CSCD 2023年第11期69-78,共10页
The comparison of domestic and foreign studies has been utilized to extensively employ junction termination extension(JTE)structures for power devices.However,achieving a gradual doping concentration change in the lat... The comparison of domestic and foreign studies has been utilized to extensively employ junction termination extension(JTE)structures for power devices.However,achieving a gradual doping concentration change in the lateral direction is difficult for SiC devices since the diffusion constants of the implanted aluminum ions in SiC are much less than silicon.Many previously reported studies adopted many new structures to solve this problem.Additionally,the JTE structure is strongly sensitive to the ion implantation dose.Thus,GA-JTE,double-zone etched JTE structures,and SM-JTE with modulation spacing were reported to overcome the above shortcomings of the JTE structure and effectively increase the breakdown voltage.They provided a theoretical basis for fabricating terminal structures of 4H-SiC PiN diodes.This paper summarized the effects of different terminal structures on the electrical properties of SiC devices at home and abroad.Presently,the continuous development and breakthrough of terminal technology have significantly improved the breakdown voltage and terminal efficiency of 4H-SiC PiN power diodes. 展开更多
关键词 PiN diode terminal structure mesa-JTE reverse breakdown voltage etching process
下载PDF
原子层制造的研究现状与科学挑战
6
作者 钱林茂 陈蓉 +11 位作者 朱利民 赵德文 彭小强 周平 邓辉 余家欣 曹坤 杜春阳 武恩秀 江亮 石鹏飞 陈磊 《中国科学基金》 CSCD 北大核心 2024年第1期99-114,共16页
原子层制造是指加工精度达到原子层量级的可控制造技术,包括原子层去除、添加、迁移等。针对信息、能源、航空航天等领域核心零部件超高性能构建的发展需求,通过原子层可控去除制造全频段原子级精度无损表面,并结合原子层增材制造原子... 原子层制造是指加工精度达到原子层量级的可控制造技术,包括原子层去除、添加、迁移等。针对信息、能源、航空航天等领域核心零部件超高性能构建的发展需求,通过原子层可控去除制造全频段原子级精度无损表面,并结合原子层增材制造原子级新结构,有望实现特殊功能的有效创成,保证超高性能的安全可靠。另外,后摩尔时代先进芯片的制造工艺将迈入亚纳米物理极限,原子层制造需求贯穿芯片制造工艺的全流程。本文阐述了原子层制造技术的发展需求与研究进展,围绕原子层抛光、原子层沉积/刻蚀、原子层损伤控制、原子层工艺与装备等领域,梳理了原子层制造的发展方向及研究目标,凝练了原子层制造领域未来的关键科学问题及面临的挑战,探讨了前沿研究方向和发展战略。 展开更多
关键词 原子层制造 原子层抛光 原子层沉积/刻蚀 原子层损伤控制 原子层制造工艺与装备
下载PDF
基于机器学习的K424合金刻蚀深度预测
7
作者 张青 乔红超 +1 位作者 王顺山 赵吉宾 《激光与红外》 CAS CSCD 北大核心 2024年第5期701-709,共9页
为探究水导激光加工过程中不同工艺参数对K424高温合金刻蚀深度的作用,对K424高温合金进行了包括激光功率、进给速度及加工次数在内的三个关键工艺参数的影响刻蚀实验,实验结果表明:较大的功率、较小的进给速度和多次加工会产生更深的... 为探究水导激光加工过程中不同工艺参数对K424高温合金刻蚀深度的作用,对K424高温合金进行了包括激光功率、进给速度及加工次数在内的三个关键工艺参数的影响刻蚀实验,实验结果表明:较大的功率、较小的进给速度和多次加工会产生更深的刻蚀。此外采用XGBoost、RF、BPNN以及SVR四种模型建立了激光功率、进给速度和加工次数与加工深度之间的预测模型。在拟合效果上XGBoost与SVR模型表现优异,最大误差百分比均不到0.3%;在预测结果方面显示,XGBoost最大误差百分比6.698%,优于另三种模型。最后得出XGBoost模型在拟合和预测K424高温合金加工深度方面有更好的性能。与传统的干式激光加工相比,水导激光加工技术减少了材料热损伤,提高了加工质量。该研究为水导激光加工K424高温合金提供了参考。 展开更多
关键词 水导激光加工技术 K424高温合金 XGBoost 刻蚀深度预测
下载PDF
晶圆级薄膜铌酸锂波导制备工艺与性能表征
8
作者 叶志霖 李世凤 +5 位作者 崔国新 尹志军 王学斌 赵刚 胡小鹏 祝世宁 《人工晶体学报》 CAS 北大核心 2024年第3期426-433,共8页
随着光子集成和光通信技术的快速发展,低损耗波导是实现高效光子传输的关键元件,其性能直接影响整个集成芯片的性能。因此,低损耗波导的制备技术是当前铌酸锂集成光子技术研究的热点和难点。本研究针对晶圆级低损耗薄膜铌酸锂波导的制... 随着光子集成和光通信技术的快速发展,低损耗波导是实现高效光子传输的关键元件,其性能直接影响整个集成芯片的性能。因此,低损耗波导的制备技术是当前铌酸锂集成光子技术研究的热点和难点。本研究针对晶圆级低损耗薄膜铌酸锂波导的制备工艺进行了深入研究,在4英寸的薄膜铌酸锂晶圆上,基于深紫外光刻和电感耦合等离子体刻蚀技术,成功制备出了传输损耗低于0.15 dB/cm的波导,同时刻蚀深度误差控制在10%以内,极大地提高了波导结构的精确度。此外,本研究还提出了一种基于微环谐振腔的晶圆上波导损耗的表征方案,能更精确地评估波导性能。通过测试,发现所制备的波导合格率超过85%,显示出良好的可重复性和可靠性。本文中发展的晶圆级薄膜铌酸锂加工工艺,对推进铌酸锂波导的大规模制备和应用具有重要意义。 展开更多
关键词 薄膜铌酸锂 晶圆级加工 波导损耗测量 深紫外光刻 ICP刻蚀 集成光子技术
下载PDF
不同刻蚀条件对铜基表面微结构的表面润湿性能影响
9
作者 蓝宁 黄书烽 +1 位作者 黄伟莉 赵海沣 《机电工程技术》 2024年第5期146-149,217,共5页
采用60°螺纹车刀对铜棒的端面进行车削加工以获得规则可控均匀的表面微观结构,并结合化学刻蚀和高温氧化构建纳米薄膜以及正十八烷基硫醇修饰的方法来制备铜基超疏水表面。研究刻蚀时间以及刻蚀温度对铜基表面微结构的表面润湿性... 采用60°螺纹车刀对铜棒的端面进行车削加工以获得规则可控均匀的表面微观结构,并结合化学刻蚀和高温氧化构建纳米薄膜以及正十八烷基硫醇修饰的方法来制备铜基超疏水表面。研究刻蚀时间以及刻蚀温度对铜基表面微结构的表面润湿性能的影响。经扫描式电子显微镜(SEM)观察车削加工后表面微观结构和光学接触角测量仪测量铜基超疏水表面的静态表观接触角,结果表明,铜基表面能形成沟壑状并且呈螺旋形均匀分布的亚微米或纳米级别的粗糙结构,铜基超疏水表面静态表观接触角大小随刻蚀时间和刻蚀温度的增加而增大,纳米薄膜覆盖范围随刻蚀时间和刻蚀温度的增加而增大。铜基超疏水表面在化学刻蚀温度为75℃和刻蚀时间为60 min时获得最佳的超疏水性能,最佳静态表观接触角为165°。该超疏水表面制备方法的成本较低,效果相对较好,对超疏水表面的实际生产有一定的促进作用。 展开更多
关键词 铜金属 化学刻蚀 超疏水表面 车削加工 正十八烷基硫醇
下载PDF
芯片制造用含氟电子特气的研究进展
10
作者 张呈平 权恒道 《精细化工》 EI CAS CSCD 北大核心 2024年第2期330-340,390,共12页
含氟电子特气主要用于芯片制造过程中的刻蚀和清洁工段,是不可或缺的关键性化工材料。该文比较了传统含氟电子特气和新型含氟电子特气,重点总结了新型含氟电子特气的主要合成路线,并指出最佳的产业化路线;介绍了芯片制造用含氟电子特气... 含氟电子特气主要用于芯片制造过程中的刻蚀和清洁工段,是不可或缺的关键性化工材料。该文比较了传统含氟电子特气和新型含氟电子特气,重点总结了新型含氟电子特气的主要合成路线,并指出最佳的产业化路线;介绍了芯片制造用含氟电子特气的发展现状;最后,针对当前含氟电子特气的主要知识产权被国外发达国家所垄断,且刻蚀和清洁工序的分步操作导致工序繁复且效率低下,难以满足高端芯片集成度和良品率等更高的精度需求问题,提出今后研究应开发满足国家重大战略需求的新一代含氟电子特气,具备刻蚀/清洁协同双功能,具有简化工序、提高效率、提升芯片制造良品率的优点,实现对当前刻蚀和清洁仅能分步操作的含氟电子特气的理想替代。 展开更多
关键词 电子特气 刻蚀 清洁 芯片制造 高端芯片 先进制程 刻蚀/清洁协同
下载PDF
石英湿法腐蚀及谐振器制作工艺研究
11
作者 龙雪松 《压电与声光》 CAS 北大核心 2024年第3期328-332,共5页
随着石英谐振器向小型化、高频化发展,其尺寸越来越小,因此,低成本的谐振器精准制造工艺尤为重要。该文对石英晶片进行腐蚀实验以确定精准制造矩形谐振器的最佳工艺条件,研究了温度对金属保护层完整性的影响,以及腐蚀时间对石英表面粗... 随着石英谐振器向小型化、高频化发展,其尺寸越来越小,因此,低成本的谐振器精准制造工艺尤为重要。该文对石英晶片进行腐蚀实验以确定精准制造矩形谐振器的最佳工艺条件,研究了温度对金属保护层完整性的影响,以及腐蚀时间对石英表面粗糙度的影响。腐蚀速率稳定且适中,有利于谐振器的精准制造。设计了石英谐振器工艺流程,得到质量较好的超薄矩形AT切高频石英谐振器。分析其尺寸误差产生的原因,并总结了一套精度较好的湿法腐蚀工艺,有望采用低成本手段使矩形谐振器的厚度小于10μm。 展开更多
关键词 AT切石英 湿法腐蚀 制作工艺
下载PDF
650 V高压型超结结构MOSFET器件设计与性能研究
12
作者 赵勇 《安徽师范大学学报(自然科学版)》 2024年第1期27-32,共6页
功率MOSFET(Metal-Oxide-Semiconductor Field-Effect Transistor)作为绝缘栅控制的开关型器件,因其功率大,驱动简单,应用越来越广泛。采用深槽刻蚀填充技术设计的650 V高压型超结结构MOSFET器件,主要应用于汽车充电桩等电源管理,力求... 功率MOSFET(Metal-Oxide-Semiconductor Field-Effect Transistor)作为绝缘栅控制的开关型器件,因其功率大,驱动简单,应用越来越广泛。采用深槽刻蚀填充技术设计的650 V高压型超结结构MOSFET器件,主要应用于汽车充电桩等电源管理,力求在保持参数不变的前提下,优化导通电阻。通过工艺仿真技术测试功率MOSFET器件的性能,研究了槽偏移距离以及掺杂浓度对导通电阻和击穿电压的关系。结果表明,槽偏移会导致超结部分的电荷不平衡,槽偏移不论正负,只要是在同一水平位置,那么两者的总电荷数就是不同的。在柱宽不变的情况下,随着浓度的增加,其击穿电压和导通电阻都缓慢下降,并且导通电阻随着掺杂浓度的提高而降低。本研究对半导体领域器件设计优化和提升具有一定的参考意义。 展开更多
关键词 超结MOSFET 工艺仿真 深槽刻蚀填充技术 半导体
下载PDF
大高径比硅纳米阵列结构制作工艺及表面润湿性
13
作者 黎相孟 魏慧芬 张雅君 《微纳电子技术》 CAS 2024年第4期170-178,共9页
具有表面润湿特性的大高径比纳米结构在诸多领域有广泛的应用,如液滴的微流控输运等。然而,大高径比纳米结构的低成本制造具有一定的挑战性。为此,采用二氧化硅纳米粒子自组装制备的薄膜及线条阵列的掩蔽干法刻蚀工艺,通过调节Bosch工... 具有表面润湿特性的大高径比纳米结构在诸多领域有广泛的应用,如液滴的微流控输运等。然而,大高径比纳米结构的低成本制造具有一定的挑战性。为此,采用二氧化硅纳米粒子自组装制备的薄膜及线条阵列的掩蔽干法刻蚀工艺,通过调节Bosch工艺刻蚀步数,实现了高径比从2∶1至几十比一的硅纳米结构。以纳米粒子薄膜和纳米粒子线条阵列作为掩蔽层进行刻蚀制备的硅纳米阵列结构表面分别展示了各向同性和各向异性的表面润湿特性。实验结果表明,随着刻蚀步数的增加,表面润湿特性发生从Wenzel亲水状态向Cassie-Baxter疏水状态的转变,同时各向异性的静态接触角和滑动角呈逐渐减小趋势。另外,纳米墙阵列结构表面展现了近似于荷叶效应的超疏水特性,前进接触角达到160°以上,而滑动角小于5°,利用具有不同粘附特性的表面,可以实现液滴从低粘附表面向高粘附表面转移。 展开更多
关键词 纳米结构 大高径比 干法刻蚀 Bosch工艺 疏水特性 润湿特性
下载PDF
PCB酸蚀刻废液制备氧化铜的工艺优化
14
作者 王博 何明礼 +4 位作者 王成 章薇 王智 柯亚中 张家泉 《湖北理工学院学报》 2024年第4期15-18,62,共5页
为高效回收酸蚀刻废液中的Cu^(2+)和Cu^(+),对电镀级氧化铜的制备工艺进行了优化,通过正交实验对影响氧化铜产品质量的一级反应器中混合液氧化还原电位(ORP)值、分散剂添加量、二级反应器中混合液ORP值、萃洗次数4个主要因素进行了研究... 为高效回收酸蚀刻废液中的Cu^(2+)和Cu^(+),对电镀级氧化铜的制备工艺进行了优化,通过正交实验对影响氧化铜产品质量的一级反应器中混合液氧化还原电位(ORP)值、分散剂添加量、二级反应器中混合液ORP值、萃洗次数4个主要因素进行了研究。结果表明,在废液预处理阶段,添加氯酸钠和双氧水的一级反应器中混合液ORP值处于620~650 mV之间,分散剂添加量为250 ppm/10 ppm,二级反应器中混合液ORP值维持在525~575 mV之间时,有利于提高产品氧化铜的含量,降低产品杂质含量。本研究为酸蚀刻废液高效利用提供了一种可行且简便的方法。 展开更多
关键词 酸蚀刻废液 氧化铜 工艺优化 氧化还原电位 萃洗
下载PDF
蚀刻通孔的阻值影响因素以及调整方法分析
15
作者 苏良得 阚琎 《集成电路应用》 2024年第5期58-61,共4页
阐述通孔的尺寸、形貌以及底部的特性是决定通孔阻值的关键因素,不同的蚀刻步骤需要配合不同的蚀刻气体,功率以及蚀刻时间。为此,从通孔蚀刻不同的步骤对尺寸、形貌,底部的特性的影响着手,分析影响通孔阻值的关键因素,找出通孔蚀刻工艺... 阐述通孔的尺寸、形貌以及底部的特性是决定通孔阻值的关键因素,不同的蚀刻步骤需要配合不同的蚀刻气体,功率以及蚀刻时间。为此,从通孔蚀刻不同的步骤对尺寸、形貌,底部的特性的影响着手,分析影响通孔阻值的关键因素,找出通孔蚀刻工艺的管控方案,扩大工艺窗口。 展开更多
关键词 集成电路制造 通孔蚀刻 阻值 NISI LOSS 工艺窗口
下载PDF
真空二流体技术制备高精细线路的研究
16
作者 文根硕 李玖娟 +4 位作者 周国云 孙炳合 周先文 毛永胜 文泽生 《印制电路信息》 2024年第7期9-14,共6页
为满足日益精细化和复杂化的印制电路板(PCB)产品需求,真空二流体技术作为新型蚀刻技术在减成法工艺中得到越来越广泛的应用。探索利用减成法工艺结合真空二流体技术制备不同铜厚的高精细线路。研究结果显示,使用18μm、25μm及35μm铜... 为满足日益精细化和复杂化的印制电路板(PCB)产品需求,真空二流体技术作为新型蚀刻技术在减成法工艺中得到越来越广泛的应用。探索利用减成法工艺结合真空二流体技术制备不同铜厚的高精细线路。研究结果显示,使用18μm、25μm及35μm铜箔分别制备线宽线距为30/30μm、35/35μm和40/40μm的精细线路时,真空二流体技术提高了线宽过程能力指数(Cpk),对横向线路的改善效果最为显著,且随着铜箔厚度的减小,蚀刻因子的增大也更为明显。 展开更多
关键词 真空二流体技术 蚀刻 减成法 高精细线路
下载PDF
28nm高K金属栅技术平台光阻回刻工艺中提高刻蚀工艺稳定性的方法研究
17
作者 吕煜坤 王宇威 唐在峰 《集成电路应用》 2024年第6期66-69,共4页
阐述在28nm高K金属栅(28HKMG)技术平台中解决NMOS/PMOS区域栅极阻挡层高度差的主要方案,是在金属栅极制造工艺前插入光阻回刻系列工艺流程,使NMOS区域与PMOS区域的栅极高度获得一致。但该方案在实际量产中的主要难点是第二道回刻工艺(Et... 阐述在28nm高K金属栅(28HKMG)技术平台中解决NMOS/PMOS区域栅极阻挡层高度差的主要方案,是在金属栅极制造工艺前插入光阻回刻系列工艺流程,使NMOS区域与PMOS区域的栅极高度获得一致。但该方案在实际量产中的主要难点是第二道回刻工艺(Etch Back2,即EB2)不稳定,腔体的刻蚀速率与面内分布随着作业时间的增加会出现明显的趋势变化,导致产品端用于表征刻蚀结果的量测参数“牛角高度”(Spacer1二氧化硅保护层高度与栅极高度之间的高度差)在片内具有较高波动性,易造成缺陷,无法安全生产。为此,详细探讨28HKMG平台EB2刻蚀工艺不稳定的原因,并针对实际量产过程中发生的异常,给出切实可行的解决方案,有利于维护腔体微环境稳定,提高产品质量。 展开更多
关键词 集成电路制造 28nm 高K金属栅 光阻回刻 工艺稳定性
下载PDF
光阻回刻工艺缺陷与改善方法研究
18
作者 阚琎 苏良得 《集成电路应用》 2024年第5期62-65,共4页
阐述在金属栅极(例如AL)半导体芯片制造工艺中通常需要引入一段特有流程用来去除多晶硅(Poly)并进行金属再填充。光阻回刻工艺作为该流程中的关键步骤,主要目的是去除Poly顶端的硬质掩膜,便于后道工艺对Poly进行刻蚀。因为NMOS和PMOS的... 阐述在金属栅极(例如AL)半导体芯片制造工艺中通常需要引入一段特有流程用来去除多晶硅(Poly)并进行金属再填充。光阻回刻工艺作为该流程中的关键步骤,主要目的是去除Poly顶端的硬质掩膜,便于后道工艺对Poly进行刻蚀。因为NMOS和PMOS的硬质掩膜存在高度差异,以及光阻在不同图形密度区域厚度不同,导致工艺窗口紧张,实际生产维护困难,容易出现包括多晶硅残留在内的多种缺陷,严重影响产品最终良率。为此,通过对缺陷进行分类,探究形成机理,提出对应在线监控方式,能够降低缺陷发生率,并尝试对工艺条件进行优化,提升整体工艺窗口。 展开更多
关键词 集成电路制造 金属栅极 光阻回刻工艺 缺陷分析 工艺窗口改善
下载PDF
Effects of Surface Etch Hole Fault on the Velocity Field in Microchannel Reactors 被引量:2
19
作者 尤学一 李胜华 《Chinese Journal of Chemical Engineering》 SCIE EI CAS CSCD 2009年第6期919-924,共6页
微通道反应堆通常在微化学药品的技术被使用。微反应堆的表演极大地在微隧道受速度地影响。流动地被扰乱由圆柱蚀刻坐飞机在它的处理过程期间在微隧道表面上引起灰尘的洞。在这条途径,一个二维的计算液体动力学(CFD ) 模型被提出学习... 微通道反应堆通常在微化学药品的技术被使用。微反应堆的表演极大地在微隧道受速度地影响。流动地被扰乱由圆柱蚀刻坐飞机在它的处理过程期间在微隧道表面上引起灰尘的洞。在这条途径,一个二维的计算液体动力学(CFD ) 模型被提出学习效果在流动地上蚀刻洞。单身者或二凹面的影响区域蚀刻洞为 laminar 的盒子被学习流动。在洞的中心之间的洞直径,雷纳兹数字和距离被发现在流动领域上有影响。数字结果显示效果在流动领域上蚀刻洞应该被评估,为微反应堆制造选择干净空间的经济班的方法被介绍。 展开更多
关键词 表面蚀刻 微反应器 速度场 故障影响 计算流体力学 化工技术 数值结果 流场
下载PDF
基于高斯分量标准化的K近邻故障检测策略
20
作者 张成 赵丽颖 +2 位作者 郑百顺 戴絮年 李元 《计算机应用与软件》 北大核心 2023年第1期90-97,共8页
针对复杂多工况工业过程故障检测问题,提出一种基于高斯分量标准化的K近邻(Gaussian Component Standardization K-Nearest Neighbor,GCS-KNN)故障检测策略。样本数据应用高斯混合模型(Gaussian Mixture Model,GMM)进行训练,将数据分解... 针对复杂多工况工业过程故障检测问题,提出一种基于高斯分量标准化的K近邻(Gaussian Component Standardization K-Nearest Neighbor,GCS-KNN)故障检测策略。样本数据应用高斯混合模型(Gaussian Mixture Model,GMM)进行训练,将数据分解为多个高斯分量;通过每个高斯分量的均值和协方差对该分量内的数据进行标准化处理;应用K近邻(K-Nearest Neighbor,KNN)算法对标准化后的样本进行检测。GCS-KNN通过高斯分量标准化消除数据的多模态特性,提高传统基于KNN检测方法的检测率。利用数值例子和半导体工业过程仿真实验验证了该方法的有效性,并与传统的主元分析(Principal Component Analysis,PCA)、KNN、动态主元分析(Dynamic PCA,DPCA)和加权KNN(Weighted KNN,WKNN)等方法进行对比,结果证实此方法具有显著的优势。 展开更多
关键词 高斯混合模型 多模态故障检测 K近邻规则 标准化 半导体蚀刻过程
下载PDF
上一页 1 2 16 下一页 到第
使用帮助 返回顶部