期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
A low-leakage and NBTI-mitigated N-type domino logic
1
作者 梁华国 徐辉 +1 位作者 黄正峰 易茂祥 《Journal of Semiconductors》 EI CAS CSCD 2014年第1期129-134,共6页
NBTI-induced transistor aging has become a prominent factor affecting the reliability of circuits. Reducing leakage consumption is one of the major design goals. Domino logic circuits are applied extensively in high-p... NBTI-induced transistor aging has become a prominent factor affecting the reliability of circuits. Reducing leakage consumption is one of the major design goals. Domino logic circuits are applied extensively in high-performance integrated circuits. A circuit technique for mitigating NBTI-induced degradation and reduce standby leakage current is presented in this paper. Two transistors are added to the standard domino circuit to pull both the dynamic node and the output up to VDo, which puts both the keeper and the inverter pMOS transistor into recovery mode in standby mode. Due to the stack effect, leakage current is reduced by the all-0 input vector and the added transistors. Experimental results reveal up to 33% NBTI-induced degradation reduction and up to 79% leakage current reduction. 展开更多
关键词 domino logic circuit negative bias temperature instability leakage current standby mode
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部