期刊文献+
共找到43篇文章
< 1 2 3 >
每页显示 20 50 100
Two-dimensional analytical model of double-gate tunnel FETs with interface trapped charges including effects of channel mobile charge carriers
1
作者 Huifang Xu Yuehua Dai 《Journal of Semiconductors》 EI CAS CSCD 2017年第2期51-58,共8页
A two-dimensional analytical model of double-gate(DG) tunneling field-effect transistors(TFETs) with interface trapped charges is proposed in this paper. The influence of the channel mobile charges on the potentia... A two-dimensional analytical model of double-gate(DG) tunneling field-effect transistors(TFETs) with interface trapped charges is proposed in this paper. The influence of the channel mobile charges on the potential profile is also taken into account in order to improve the accuracy of the models. On the basis of potential profile,the electric field is derived and the expression for the drain current is obtained by integrating the BTBT generation rate. The model can be used to study the impact of interface trapped charges on the surface potential, the shortest tunneling length, the drain current and the threshold voltage for varying interface trapped charge densities, length of damaged region as well as the structural parameters of the DG TFET and can also be utilized to design the charge trapped memory devices based on TFET. The biggest advantage of this model is that it is more accurate,and in its expression there are no fitting parameters with small calculating amount. Very good agreements for both the potential, drain current and threshold voltage are observed between the model calculations and the simulated results. 展开更多
关键词 double-gate tunnel field effect transistor(TFET) interface trapped charges analytical model
原文传递
Charge trapping effect at the interface of ferroelectric/interlayer in the ferroelectric field effect transistor gate stack
2
作者 孙晓清 徐昊 +2 位作者 柴俊帅 王晓磊 王文武 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第8期457-464,共8页
We study the charge trapping phenomenon that restricts the endurance of n-type ferroelectric field-effect transistors(FeFETs)with metal/ferroelectric/interlayer/Si(MFIS)gate stack structure.In order to explore the phy... We study the charge trapping phenomenon that restricts the endurance of n-type ferroelectric field-effect transistors(FeFETs)with metal/ferroelectric/interlayer/Si(MFIS)gate stack structure.In order to explore the physical mechanism of the endurance failure caused by the charge trapping effect,we first establish a model to simulate the electron trapping behavior in n-type Si FeFET.The model is based on the quantum mechanical electron tunneling theory.And then,we use the pulsed I_d-V_g method to measure the threshold voltage shift between the rising edges and falling edges of the FeFET.Our model fits the experimental data well.By fitting the model with the experimental data,we get the following conclusions.(i)During the positive operation pulse,electrons in the Si substrate are mainly trapped at the interface between the ferroelectric(FE)layer and interlayer(IL)of the FeFET gate stack by inelastic trap-assisted tunneling.(ii)Based on our model,we can get the number of electrons trapped into the gate stack during the positive operation pulse.(iii)The model can be used to evaluate trap parameters,which will help us to further understand the fatigue mechanism of FeFET. 展开更多
关键词 FERROELECTRIC INTERFACE ferroelectric field-effect transistors(FeFETs) charge trapping
下载PDF
Investigation of heavy ion irradiation effects on a charge trapping memory capacitor by C-V measurement
3
作者 陈麒宇 杨西荣 +6 位作者 李宗臻 毕津顺 习凯 张振兴 翟鹏飞 孙友梅 刘杰 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第9期364-368,共5页
Heavy ion irradiation effects on charge trapping memory(CTM)capacitors with TiN/Al_(2)O_(3)/HfO_(2)/Al_(2)O_(3)/HfO_(2)/SiO_(2)/p-Si structure have been investigated.The ion-induced interface charges and oxide trap ch... Heavy ion irradiation effects on charge trapping memory(CTM)capacitors with TiN/Al_(2)O_(3)/HfO_(2)/Al_(2)O_(3)/HfO_(2)/SiO_(2)/p-Si structure have been investigated.The ion-induced interface charges and oxide trap charges were calculated and analyzed by capacitance-voltage(C-V)characteristics.The C-V curves shift towards the negative direction after swift heavy ion irradiation,due to the net positive charges accumulating in the trapping layer.The memory window decreases with the increase of ion fluence at high voltage,which results from heavy ion-induced structural damage in the blocking layer.The mechanism of heavy ion irradiation effects on CTM capacitors is discussed in detail with energy band diagrams.The results may help to better understand the physical mechanism of heavy ion-induced degradation of CTM capacitors. 展开更多
关键词 charge trapping memory(CTM) high-k dielectric stack heavy ion irradiation reliability
下载PDF
High-performance amorphous In–Ga–Zn–O thin-film transistor nonvolatile memory with a novel p-SnO/n-SnO_(2) heterojunction charge trapping stack
4
作者 熊文 霍景永 +3 位作者 吴小晗 刘文军 张卫 丁士进 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第1期580-584,共5页
Amorphous In–Ga–Zn–O(a-IGZO)thin-film transistor(TFT)memories with novel p-SnO/n-SnO_(2) heterojunction charge trapping stacks(CTSs)are investigated comparatively under a maximum fabrication temperature of 280℃.Co... Amorphous In–Ga–Zn–O(a-IGZO)thin-film transistor(TFT)memories with novel p-SnO/n-SnO_(2) heterojunction charge trapping stacks(CTSs)are investigated comparatively under a maximum fabrication temperature of 280℃.Compared to a single p-SnO or n-SnO_(2) charge trapping layer(CTL),the heterojunction CTSs can achieve electrically programmable and erasable characteristics as well as good data retention.Of the two CTSs,the tunneling layer/p-SnO/nSnO_(2)/blocking layer architecture demonstrates much higher program efficiency,more robust data retention,and comparably superior erase characteristics.The resulting memory window is as large as 6.66 V after programming at 13 V/1 ms and erasing at-8 V/1 ms,and the ten-year memory window is extrapolated to be 4.41 V.This is attributed to shallow traps in p-SnO and deep traps in n-SnO_(2),and the formation of a built-in electric field in the heterojunction. 展开更多
关键词 nonvolatile memory a-IGZO thin-film transistor(TFT) charge trapping stack p-SnO/n-SnO_(2)heterojunction
下载PDF
Enhanced surface-insulating performance of EP composites by doping plasmafluorinated ZnO nanofiller
5
作者 段祺君 宋岩泽 +3 位作者 邵帅 尹国华 阮浩鸥 谢庆 《Plasma Science and Technology》 SCIE EI CAS CSCD 2023年第10期37-48,共12页
The surface flashover of epoxy resin(EP) composites is a pivotal problem in the field of highvoltage insulation.The regulation of the interface between the filler and matrix is an effective means to suppress flashover... The surface flashover of epoxy resin(EP) composites is a pivotal problem in the field of highvoltage insulation.The regulation of the interface between the filler and matrix is an effective means to suppress flashover.In this work,nano ZnO was fluorinated and grafted using lowtemperature plasma technology,and the fluorinated filler was doped into EP to study the DC surface flashover performance of the composite.The results show that plasma fluorination can effectively inhibit the agglomeration by grafting –CFxgroups onto the surface of nano-ZnO particles.The fluorine-containing groups at the interface provide higher charge binding traps and enhance the insulation strength at the interface.At the same time,the interface bond cooperation caused by plasma treatment also promoted the accelerating effect of nano ZnO on charge dissipation.The two effects synergistically improve the surface flashover performance of epoxy composites.When the concentration of fluorinated ZnO filler is 20%,the flashover voltage has the highest increase,which is 31.52% higher than that of pure EP.In addition,fluorinated ZnO can effectively reduce the dielectric constant and dielectric loss of epoxy composites.The interface interaction mechanism was further analyzed using molecular dynamics simulation and density functional theory simulation. 展开更多
关键词 plasma fluorination ZnO nanofller epoxy resin surface flashover charge trap density functional theory(Some figures may appear in colour only in the online journal)
下载PDF
Luminescent Properties of A Novel Terbium Complex Tb(o-BBA)_3(phen) 被引量:1
6
作者 刘玲 徐征 +3 位作者 娄志东 张福俊 孙波 裴娟 《Journal of Rare Earths》 SCIE EI CAS CSCD 2006年第2期253-256,共4页
A novel rare earth complex of terbium ion with 2-benzoylbenzoic acid and 1, 10-phenathroline (Tb(o-BBA)3 (phen), o-BBA-2-benzoylbenzoic acid, phen = 1, 10-phenathroline) was used as an electroluminescent materia... A novel rare earth complex of terbium ion with 2-benzoylbenzoic acid and 1, 10-phenathroline (Tb(o-BBA)3 (phen), o-BBA-2-benzoylbenzoic acid, phen = 1, 10-phenathroline) was used as an electroluminescent material for the first time. The Tb complex was blended with poly(N-vinylcarbazole) (PVK) in different weight ratios and spinn to coated into films (noted as PVK :Tb films). The photoluminescence (PL) properties of films were investigated and the optimum weight ratio between PVK and Tb(o-BBA)3(phen) was found to be 3:1. Monolayer devices with the structure ITO/PVK: Tb/AI were fabricated and emitted green light, which was characteristic of Tb^3+ emission. The results show that mecha- nisms for PL and EL are different. The PL is considered to be caused because of energy transfer and direct excitation to the Tb(o-BBA)3(phen) molecule, while EL is mainly on charging trapping. 展开更多
关键词 Tb complex energy transfer charge trapping ELECTROLUMINESCENCE rare earths
下载PDF
Improved charge trapping flash device with Al_2O_3 /HfSiO stack as blocking layer 被引量:1
7
作者 郑志威 霍宗亮 +3 位作者 朱晨昕 许中广 刘璟 刘明 《Chinese Physics B》 SCIE EI CAS CSCD 2011年第10期476-479,共4页
In this paper, we investigate an Al2O3/HfSiO stack as the blocking layer of a metal-oxide-nitride-oxide-silicon- type (MONOS) memory capacitor. Compared with a memory capacitor with a single HfSiO layer as the block... In this paper, we investigate an Al2O3/HfSiO stack as the blocking layer of a metal-oxide-nitride-oxide-silicon- type (MONOS) memory capacitor. Compared with a memory capacitor with a single HfSiO layer as the blocking layer or an Al2O3/HfO2 stack as the blocking layer, the sample with the Al2O3/HfSiO stack as the blocking layer shows high program/erase (P/E) speed and good data retention characteristics. These improved performances can be explained by energy band engineering. The experimental results demonstrate that the memory device with an Al2O3/HfSiO stack as the blocking layer has great potential for further high-performance nonvolatile memory applications. 展开更多
关键词 charge trapping flash blocking layer STACK
下载PDF
Total Ionization Dose Effects on Charge Storage Capability of Al2O3/HfO2/Al2O3-Based Charge Trapping Memory Cell 被引量:1
8
作者 徐彦楠 毕津顺 +5 位作者 许高博 李博 习凯 刘明 王海滨 骆丽 《Chinese Physics Letters》 SCIE CAS CSCD 2018年第11期86-89,共4页
Because of the discrete charge storage mechanism, charge trapping memory(CTM) technique is a good candidate for aerospace and military missions. The total ionization dose(TID) effects on CTM cells with Al2O3/HfO2/... Because of the discrete charge storage mechanism, charge trapping memory(CTM) technique is a good candidate for aerospace and military missions. The total ionization dose(TID) effects on CTM cells with Al2O3/HfO2/Al2O3(AHA) high-k gate stack structure under in-situ 10 keV x-rays are studied. The C-V characteristics at different radiation doses demonstrate that charge stored in the device continues to be leaked away during the irradiation,thereby inducing the shift of flat band voltage(V(fb)). The dc memory window shows insignificant changes, suggesting the existence of good P/E ability. Furthermore, the physical mechanisms of TID induced radiation damages in AHA-based CTM are analyzed. 展开更多
关键词 AHA Total Ionization Dose Effects on Charge Storage Capability of Al2O3/HfO2/Al2O3-Based Charge Trapping Memory Cell Al
下载PDF
Impact of substrate bias on radiation-induced edge effects in MOSFETs
9
作者 胡志远 刘张李 +5 位作者 邵华 张正选 宁冰旭 陈明 毕大炜 邹世昌 《Chinese Physics B》 SCIE EI CAS CSCD 2011年第12期181-186,共6页
This paper investigates the effects of gamma-ray irradiation on the Shallow-Trench Isolation (STI) leakage currents in 180-nm complementary metal oxide semiconductor technology. No hump effect in the subthreshold re... This paper investigates the effects of gamma-ray irradiation on the Shallow-Trench Isolation (STI) leakage currents in 180-nm complementary metal oxide semiconductor technology. No hump effect in the subthreshold region is observed after irradiation, which is considered to be due to the thin STI corner oxide thickness. A negative substrate bias could effectively suppress the STI leakage, but it also impairs the device characteristics. The three-dimensional simulation is introduced to understand the impact of substrate bias, Moreover, we propose a simple method for extracting the best substrate bias value, which not only eliminates the STI leakage but also has the least impact on the device characteristics. 展开更多
关键词 ionizing radiation shallow trench isolation trapped charge total dose effects
下载PDF
Bias dependence of a deep submicron NMOSFET response to total dose irradiation
10
作者 刘张李 胡志远 +5 位作者 张正选 邵华 陈明 毕大炜 宁冰旭 邹世昌 《Chinese Physics B》 SCIE EI CAS CSCD 2011年第7期117-122,共6页
Deep submicron n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) with shallow trench isolation (STI) are exposed to ionizing dose radiation under different bias conditions. The total ionizing... Deep submicron n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) with shallow trench isolation (STI) are exposed to ionizing dose radiation under different bias conditions. The total ionizing dose radiation induced subthreshold leakage current increase and the hump effect under four different irradiation bias conditions including the worst case (ON bias) for the transistors are discussed. The high electric fields at the corners are partly responsible for the subthreshold hump effect. Charge trapped in the isolation oxide, particularly at the Si/SiO2 interface along the sidewalls of the trench oxide creates a leakage path, which becomes a dominant contributor to the offstate drain-to-source leakage current in the NMOSFET. Non-uniform charge distribution is introduced into a threedimensional (3D) simulation. Good agreement between experimental and simulation results is demonstrated. We find that the electric field distribution along with the STI sidewall is important for the radiation effect under different bias conditions. 展开更多
关键词 bias condition oxide trapped charge shallow trench isolation total ionizing dose
下载PDF
Modeling of tunneling current in ultrathin MOS structure with interface trap charge and fixed oxide charge
11
作者 胡波 黄仕华 吴锋民 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第1期486-490,共5页
A model based on analysis of the self-consistent Poisson-Schrodinger equation is proposed to investigate the tunneling current of electrons in the inversion layer of a p-type metal-oxide-semiconductor (MOS) structur... A model based on analysis of the self-consistent Poisson-Schrodinger equation is proposed to investigate the tunneling current of electrons in the inversion layer of a p-type metal-oxide-semiconductor (MOS) structure. In this model, the influences of interface trap charge (ITC) at the Si-SiO2 interface and fixed oxide charge (FOC) in the oxide region are taken into account, and one-band effective mass approximation is used. The tunneling probability is obtained by employing the transfer matrix method. Further, the effects of in-plane momentum on the quantization in the electron motion perpendicular to the Si-SiO2 interface of a MOS device are investigated. Theoretical simulation results indicate that both ITC and FOC have great influence on the tunneling current through a MOS structure when their densities are larger than l012 cm 2, which results from the great change of bound electrons near the Si-SiO2 interface and the oxide region. Therefore, for real ultrathin MOS structures with ITC and FOC, this model can give a more accurate description for the tunneling current in the inversion layer. 展开更多
关键词 tunneling current ultrathin oxide interface trap charge fixed oxide charge
下载PDF
Total ionizing dose effect in an input/output device for flash memory
12
作者 刘张李 胡志远 +5 位作者 张正选 邵华 陈明 毕大炜 宁冰旭 邹世昌 《Chinese Physics B》 SCIE EI CAS CSCD 2011年第12期187-191,共5页
Input/output devices for flash memory are exposed to gamma ray irradiation. Total ionizing dose has been shown great influence on characteristic degradation of transistors with different sizes. In this paper, we obser... Input/output devices for flash memory are exposed to gamma ray irradiation. Total ionizing dose has been shown great influence on characteristic degradation of transistors with different sizes. In this paper, we observed a larger increase of off-state leakage in the short channel device than in long one. However, a larger threshold voltage shift is observed for the narrow width device than for the wide one, which is well known as the radiation induced narrow channel effect. The radiation induced charge in the shallow trench isolation oxide influences the electric field of the narrow channel device. Also, the drain bias dependence of the off-state leakage after irradiation is observed, which is called the radiation enhanced drain induced barrier lowing effect. Finally, we found that substrate bias voltage can suppress the off-state leakage, while leading to more obvious hump effect. 展开更多
关键词 input/output device oxide trapped charge radiation induced narrow channel effect shallow trench isolation total ionizing dose
下载PDF
Comparison between N_2 and O_2 anneals on the integrity of an Al_2O_3/Si_3N_4/SiO_2/Si memory gate stack
13
作者 褚玉琼 张满红 +1 位作者 霍宗亮 刘明 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第8期172-176,共5页
In this paper the endurance characteristics and trap generation are investigated to study the effects of different postdeposition anneals (PDAs) on the integrity of an Al2O3/Si3N4/SiOz/Si memory gate stack. The flat... In this paper the endurance characteristics and trap generation are investigated to study the effects of different postdeposition anneals (PDAs) on the integrity of an Al2O3/Si3N4/SiOz/Si memory gate stack. The flat-band voltage (Vfb) turnarounds are observed in both the programmed and erased states of the N2-PDA device. In contrast, this turnaround is observed only in the erased state of the O2-PDA device. The Vfb in the programmed state of the O2-PDA device keeps increasing with increasing program/erase (P/E) cycles. Through the analyses of endurance characteristics and the low voltage round-trip current transients, it is concluded that in both kinds of device there are an unknown type of pre-existing characteristic deep traps and P/E stress-induced positive oxide charges. In the O2-PDA device two extra types of trap are also found: the pre-existing border traps and the P/E stress-induced negative traps. Based on these four types of defects we can explain the endurance characteristics of two kinds of device. The switching property of pre-existing characteristic deep traps is also discussed. 展开更多
关键词 charge trapping memory post deposition anneal ENDURANCE TRAPS
下载PDF
Investigation of time domain characteristics of negative capacitance FinFET by pulse-train approaches
14
作者 Yuwei Cai Zhaohao Zhang +5 位作者 Qingzhu Zhang Jinjuan Xiang Gaobo Xu Zhenhua Wu Jie Gu Huaxiang Yin 《Journal of Semiconductors》 EI CAS CSCD 2021年第11期79-84,共6页
The HfO2-based ferroelectric field effect transistors(FeFET)have been widely studied for their ability in breaking the Boltzmann limit and the potential to be applied to low-power circuits.This article systematically ... The HfO2-based ferroelectric field effect transistors(FeFET)have been widely studied for their ability in breaking the Boltzmann limit and the potential to be applied to low-power circuits.This article systematically investigates the transient response of negative capacitance(NC)fin field-effect transistors(FinFETs)through two kinds of self-built test schemes.By comparing the results with those of conventional FinFETs,we experimentally demonstrate that the on-current of the NC FinFET is not degraded in the MHz frequency domain.Further test results in the higher frequency domain show that the on-state current of the prepared NC FinFET increases with the decreasing gate pulse width at pulse widths below 100 ns and is consistently greater(about 80%with NC NMOS)than the on-state current of the conventional transistor,indicating the great potential of the NC FET for future high-frequency applications. 展开更多
关键词 transient response pulse train NC FET measurement charge trapping
下载PDF
Dependence of charge trapping of fluorescent and phosphorescent dopants in organic light-emitting diodes on the dye species and current density
15
作者 魏斌 廖英杰 +4 位作者 刘纪忠 路林 曹进 王军 张建华 《Chinese Physics B》 SCIE EI CAS CSCD 2010年第3期450-455,共6页
This paper utilizes multilayer organic light-emitting diodes with a thin layer of dye molecules to study the mech- anism of charge trapping under different electric regimes. It demonstrates that the carrier trapping w... This paper utilizes multilayer organic light-emitting diodes with a thin layer of dye molecules to study the mech- anism of charge trapping under different electric regimes. It demonstrates that the carrier trapping was independent of the current density in devices using fluorescent material as the emitting molecule while this process was exactly opposite when phosphorescent material was used. The triplet-triplet annihilation and dissociation of excitons into free charge carriers was considered to contribute to the decrease in phosphorescent emission under high electric fields. Moreover, the fluorescent dye molecule with a lower energy gap and ionized potential than the host emitter was observed to facilitate the carrier trapping mechanism, and it would produce photon emission. 展开更多
关键词 organic light-emitting diodes excitation mechanism charge trapping current density
下载PDF
Charge trapping behavior and its origin in Al_2O_3/SiC MIS system
16
作者 刘新宇 王弋宇 +6 位作者 彭朝阳 李诚瞻 吴佳 白云 汤益丹 刘可安 申华军 《Chinese Physics B》 SCIE EI CAS CSCD 2015年第8期523-528,共6页
Charge trapping behavior and its origin in Al2O3/SiC MOS structure are investigated by analyzing the capacitance–voltage(C–V) hysteresis and the chemical composition of the interface. The C–V hysteresis is measured... Charge trapping behavior and its origin in Al2O3/SiC MOS structure are investigated by analyzing the capacitance–voltage(C–V) hysteresis and the chemical composition of the interface. The C–V hysteresis is measured as a function of oxide thickness series for an Al2O3/SiC MIS capacitor. The distribution of the trapped charges, extracted from the C–V curves, is found to mainly follow a sheet charge model rather than a bulk charge model. Therefore, the electron injection phenomenon is evaluated by using linear fitting. It is found that most of the trapped charges are not distributed exactly at the interface but are located in the bulk of the Al2O3 layers, especially close to the border. Furthermore, there is no detectable oxide interface layer in the x-ray photoelectron spectroscope(XPS) and transmission electron microscope(TEM)measurements. In addition, Rutherford back scattering(RBS) analysis shows that the width of the Al2O3/SiC interface is less than 1 nm. It could be concluded that the charge trapping sites in Al2O3/SiC structure might mainly originate from the border traps in Al2O3 film rather than the interface traps in the interfacial transition layer. 展开更多
关键词 AL2O3 Si C charge trapping sites INTERFACE
下载PDF
Performance improvement of charge trap flash memory by using a composition-modulated high-k trapping layer
17
作者 汤振杰 李荣 殷江 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第9期591-594,共4页
A composition-modulated (HfO2)x(Al2O3)1-x charge trapping layer is proposed for charge trap flash memory by controlling the A1 atom content to form a peak and valley shaped band gap. It is found that the memory de... A composition-modulated (HfO2)x(Al2O3)1-x charge trapping layer is proposed for charge trap flash memory by controlling the A1 atom content to form a peak and valley shaped band gap. It is found that the memory device using the composition-modulated (HfO2)x(Al2O3)l-x as the charge trapping layer exhibits a larger memory window of 11.5 V, improves data retention even at high temperature, and enhances the program/erase speed. Improvements of the memory characteristics are attributed to the special band-gap structure resulting from the composition-modulated trapping layer. Therefore, the composition-modulated charge trapping layer may be useful in future nonvolatile flash memory device application. 展开更多
关键词 composition modulated films memory device charge trap atomic layer deposition
下载PDF
Impact of Band-Engineering to Performance of High-k Multilayer Based Charge Trapping Memory
18
作者 刘利芳 潘立阳 +1 位作者 张志刚 许军 《Chinese Physics Letters》 SCIE CAS CSCD 2015年第8期189-192,共4页
Impact of band-engineering to the performance of charge trapping memory with HfO2/Ta2O5/HfO2 (HTH) as the charge trapping layer is investigated. Compared with devices with the same total HfO2 thickness, structures w... Impact of band-engineering to the performance of charge trapping memory with HfO2/Ta2O5/HfO2 (HTH) as the charge trapping layer is investigated. Compared with devices with the same total HfO2 thickness, structures with Ta2O5 closer to substrates show larger program/erase window, because the 2nd HfO2 (next to blocking oxide) serving as part of blocking oxide reduces the current tunneling out of/in the charge trapping layer during program and erase. Moreover, trapped charge centroid is modulated and contributed more to the fiat-band voltage shift. Further experiments prove that devices with a thicker 2nd HfO2 layer exhibit larger saturate fiat-band shift in both program and erase operation. The optimized device achieves a 7 V memory window and good reliability characteristics. 展开更多
关键词 Impact of Band-Engineering to Performance of High-k Multilayer Based Charge Trapping Memory HTH CTL Ta
下载PDF
Modeling of trap-assisted tunneling on performance of charge trapping memory with consideration of trap position and energy level
19
作者 伦志远 李云 +3 位作者 赵凯 杜刚 刘晓彦 王漪 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第8期447-451,共5页
In this work, the trap-assisted tunneling(TAT) mechanism is modeled as a two-step physical process for charge trapping memory(CTM). The influence of the TAT mechanism on CTM performance is investigated in consider... In this work, the trap-assisted tunneling(TAT) mechanism is modeled as a two-step physical process for charge trapping memory(CTM). The influence of the TAT mechanism on CTM performance is investigated in consideration of various trap positions and energy levels. For the simulated CTM structure, simulation results indicate that the positions of oxide traps related to the maximum TAT current contribution shift towards the substrate interface and charge storage layer interface during time evolutions in programming and retention operations, respectively. Lower programming voltage and retention operations under higher temperature are found to be more sensitive to tunneling oxide degradation. 展开更多
关键词 trap assisted tunneling charge trapping memory tunneling oxide degradation
下载PDF
Effect of charge trapping on electrical characteristics of silicon junctionless nanowire transistor
20
作者 Yifan Fu Liuhong Ma +1 位作者 Zhiyong Duan Weihua Han 《Journal of Semiconductors》 EI CAS CSCD 2022年第5期104-108,共5页
We investigated the effect of charge trapping on electrical characteristics of silicon junctionless nanowire transistors which are fabricated on heavily n-type doped silicon-on-insulator substrate. The obvious random ... We investigated the effect of charge trapping on electrical characteristics of silicon junctionless nanowire transistors which are fabricated on heavily n-type doped silicon-on-insulator substrate. The obvious random telegraph noise and current hysteresis observed at the temperature of 10 K indicate the existence of acceptor-like traps. The position depth of the traps in the oxide from Si/SiO_(2) interface is 0.35 nm, calculated by utilizing the dependence of the capture and emission time on the gate voltage. Moreover, by constructing a three-dimensional model of tri-gate device structure in COMSOL Multiphysics simulation software, we achieved the trap density of 1.9 × 10^(12) cm^(–2) and the energy level position of traps at 0.18 eV below the intrinsic Fermi level. 展开更多
关键词 junctionless transistor charge trapping random telegraph signals
下载PDF
上一页 1 2 3 下一页 到第
使用帮助 返回顶部