期刊文献+
共找到29篇文章
< 1 2 >
每页显示 20 50 100
Spatial and temporal variation process of seabed dynamic response induced by the internal solitary wave
1
作者 Zhuangcai Tian Lei Jia +3 位作者 Naili Hu Susheng Wang Mingwei Zhang Guoqing Zhou 《Acta Oceanologica Sinica》 SCIE CAS CSCD 2023年第2期142-149,共8页
Internal solitary wave(ISW)is often accompanied by huge energy transport,which will change the pore water pressure in the seabed.Based on the two-dimensional Biot consolidation theory,the excess pore water pressure in... Internal solitary wave(ISW)is often accompanied by huge energy transport,which will change the pore water pressure in the seabed.Based on the two-dimensional Biot consolidation theory,the excess pore water pressure in seabed was simulated,and the spatiotemporal distribution characteristics of excess pore water pressure was studied.As the parameters of both ISW and seabed can affect the excess pore water pressure,the distribution of pore water pressure showed both dissipation and phase lag.And parametric studies were done on these two phenomena.Due to influenced by the phase lag of excess pore water pressure,the penetration depth under the site of northern South China Sea with total water depth 327 m,induced by typical internal solitary wave increased by 26.19%,53.27%and 149.86%from T_(0)to T_(0.5)in sand silt,clayey silt and fine sand seabed,respectively.That means the effect of ISW on seabed will be underestimated if we only take into accout the penetration depth under ISW trough,especially for fine sand seabed.In addition,the concept of“amplitude-depth ratio”had been introduced to describe the influence of ISW on seabed dynamic response in the actual marine environment.In present study,it is negatively correlated with the excess pore water pressure,and an ISW with smaller amplitude-depth ratio can wide the range of lateral impacts.Our study results help understand the seabed damage induced by the interaction between ISW and seabed. 展开更多
关键词 internal solitary wave pore water pressure SEABED dynamic response variation process
下载PDF
The study of lithographic variation in resistive random access memory
2
作者 Yuhang Zhang Guanghui He +2 位作者 Feng Zhang Yongfu Li Guoxing Wang 《Journal of Semiconductors》 EI CAS CSCD 2024年第5期69-79,共11页
Reducing the process variation is a significant concern for resistive random access memory(RRAM).Due to its ultrahigh integration density,RRAM arrays are prone to lithographic variation during the lithography process,... Reducing the process variation is a significant concern for resistive random access memory(RRAM).Due to its ultrahigh integration density,RRAM arrays are prone to lithographic variation during the lithography process,introducing electrical variation among different RRAM devices.In this work,an optical physical verification methodology for the RRAM array is developed,and the effects of different layout parameters on important electrical characteristics are systematically investigated.The results indicate that the RRAM devices can be categorized into three clusters according to their locations and lithography environments.The read resistance is more sensitive to the locations in the array(~30%)than SET/RESET voltage(<10%).The increase in the RRAM device length and the application of the optical proximity correction technique can help to reduce the variation to less than 10%,whereas it reduces RRAM read resistance by 4×,resulting in a higher power and area consumption.As such,we provide design guidelines to minimize the electrical variation of RRAM arrays due to the lithography process. 展开更多
关键词 layout LITHOGRAPHY process variation resistive random access memory
下载PDF
Curvature Compensated CMOS Bandgap Reference with Novel Process Variation Calibration Technique 被引量:1
3
作者 Jiancheng Zhang Mao Ye +1 位作者 Yiqiang Zhao Gongyuan Zhao 《Journal of Beijing Institute of Technology》 EI CAS 2018年第2期182-188,共7页
A lowtemperature coefficient( TC) bandgap reference( BGR) with novel process variation calibration technique is proposed in this paper. This proposed calibration technique compensating both TC and output value of ... A lowtemperature coefficient( TC) bandgap reference( BGR) with novel process variation calibration technique is proposed in this paper. This proposed calibration technique compensating both TC and output value of BGR achieves fine adjustment step towards the reference voltage,while keeping optimal TC by utilizing large resistance to help layout match. The high-order curvature compensation realized by poly and p-diffusion resistors is introduced into the design to guarantee the temperature characteristic. Implemented in 180 nm technology,the proposed BGR has been simulated to have a power supply rejection ratio( PSRR) of 91 dB@100 Hz. The calibration technique covers output voltage scope of 0. 49 V-0. 56 Vwith TC of 9. 45 × 10^(-6)/℃-9. 56 × 10^(-6)/℃ over the temperature range of-40 ℃-120 ℃. The designed BGR provides a reference voltage of 500 mV,with measured TC of 10. 1 × 10^(-6)/℃. 展开更多
关键词 bandgap reference voltage process variation resistance-trimming current-calibration curvature compensation temperature coefficient
下载PDF
A statistical RCL interconnect delay model taking account of process variations
4
作者 朱樟明 万达经 +1 位作者 杨银堂 恩云飞 《Chinese Physics B》 SCIE EI CAS CSCD 2011年第1期659-666,共8页
As the feature size of the CMOS integrated circuit continues to shrink, process variations have become a key factor affecting the interconnect performance. Based on the equivalent Elmore model and the use of the polyn... As the feature size of the CMOS integrated circuit continues to shrink, process variations have become a key factor affecting the interconnect performance. Based on the equivalent Elmore model and the use of the polynomial chaos theory and the Galerkin method, we propose a linear statistical RCL interconnect delay model, taking into account process variations by successive application of the linear approximation method. Based on a variety of nano-CMOS process parameters, HSPICE simulation results show that the maximum error of the proposed model is less than 3.5%. The proposed model is simple, of high precision, and can be used in the analysis and design of nanometer integrated circuit interconnect systems. 展开更多
关键词 process variation interconnect line statistical delay successive linear approximation
下载PDF
A Numerical Research on the Influences of the Diurnal Variation of Solar Radiation on the Medium-Range Weather Processes
5
作者 黄伯银 赵高祥 纪立人 《Advances in Atmospheric Sciences》 SCIE CAS CSCD 1992年第2期231-236,共6页
In this paper, we use a spectral model for the medium-range numerical weather forecast to discuss the impact of the diurnal variation of solar radiation on the medium-range weather processes. Under the tests of two ty... In this paper, we use a spectral model for the medium-range numerical weather forecast to discuss the impact of the diurnal variation of solar radiation on the medium-range weather processes. Under the tests of two typical winter and summer cases, we find that the influences of the diurnal variation of solar radiation on summer weather are really important, especially on its rainfall, surface heat transport and 500 hPa height field. On winter weather, however, the influences are very weak. 展开更多
关键词 In A Numerical Research on the Influences of the Diurnal variation of Solar Radiation on the Medium-Range Weather processes
下载PDF
Improved Quality Prediction Model for Multistage Machining Process Based on Geometric Constraint Equation 被引量:5
6
作者 ZHU Limin HE Gaiyun SONG Zhanjie 《Chinese Journal of Mechanical Engineering》 SCIE EI CAS CSCD 2016年第2期430-438,共9页
Product variation reduction is critical to improve process efficiency and product quality, especially for multistage machining process(MMP). However, due to the variation accumulation and propagation, it becomes qui... Product variation reduction is critical to improve process efficiency and product quality, especially for multistage machining process(MMP). However, due to the variation accumulation and propagation, it becomes quite difficult to predict and reduce product variation for MMP. While the method of statistical process control can be used to control product quality, it is used mainly to monitor the process change rather than to analyze the cause of product variation. In this paper, based on a differential description of the contact kinematics of locators and part surfaces, and the geometric constraints equation defined by the locating scheme, an improved analytical variation propagation model for MMP is presented. In which the influence of both locator position and machining error on part quality is considered while, in traditional model, it usually focuses on datum error and fixture error. Coordinate transformation theory is used to reflect the generation and transmission laws of error in the establishment of the model. The concept of deviation matrix is heavily applied to establish an explicit mapping between the geometric deviation of part and the process error sources. In each machining stage, the part deviation is formulized as three separated components corresponding to three different kinds of error sources, which can be further applied to fault identification and design optimization for complicated machining process. An example part for MMP is given out to validate the effectiveness of the methodology. The experiment results show that the model prediction and the actual measurement match well. This paper provides a method to predict part deviation under the influence of fixture error, datum error and machining error, and it enriches the way of quality prediction for MMP. 展开更多
关键词 quality prediction variation reduction geometric constraint equation deviation matrix multistage machining process
下载PDF
Effects of PHC on Water Quality of Jiaozhou BayⅢ.Land Transfer Process
7
作者 Yang Dongfang 《Meteorological and Environmental Research》 CAS 2016年第2期48-51,共4页
Based on investigation data of PHC content in Jiaozhou Bay,China from 1979 to 1983,the seasonal variations of PHC content and monthly changes of precipitation in Jiaozhou Bay were analyzed. The results showed that see... Based on investigation data of PHC content in Jiaozhou Bay,China from 1979 to 1983,the seasonal variations of PHC content and monthly changes of precipitation in Jiaozhou Bay were analyzed. The results showed that seen from the spatial and temporal distribution,the seasonal variation of PHC content in the surface water of Jiaozhou Bay was based on the flow of the rivers as well as human activity,so PHC content in the rivers depended on the flow of the rivers and human activity,and the peaks and valleys of PHC content appeared in various seasons. The seasonal variation of PHC content in the surface water of Jiaozhou Bay depended on its land transfer process. The land transfer process was composed of use of PHC by mankind,deposition of PHC in soil and on the earth's surface,and transportation of PHC to offshore waters of sea by rivers and surface runoff. PHC content depended on mankind during the process from being used to entering soil and on precipitation during the process of being transported from soil to ocean. 展开更多
关键词 PHC Seasonal variation Land transfer process Precipitation Jiaozhou Bay China
下载PDF
The impact of process variations on input impedance and mitigation using a circuit technique in FinFET-based LNA 被引量:2
8
作者 D.Suresh K.K.Nagarajan R.Srinivasan 《Journal of Semiconductors》 EI CAS CSCD 2015年第4期104-109,共6页
The effect of process variations of a FinFET-based low noise amplifier (LNA) are mitigated by using the device in an independently driven mode, i.e. an independently driven double gate (IDDG) FinFET. A 45 nm gate ... The effect of process variations of a FinFET-based low noise amplifier (LNA) are mitigated by using the device in an independently driven mode, i.e. an independently driven double gate (IDDG) FinFET. A 45 nm gate length IDDG FinFET-based cascoded LNA, operating at 5 GHz, is designed and studied to assess the impact of process variation on the LNA performance metrics such as input impedance, gain and noise figure. Four geometrical parameters, gate length, channel width, gate oxide thickness and fin width, and one non-geometrical parameter, channel doping concentration, are considered in the study. The effect of these variations on the input impedance (the desired value is 50 f2 purely real) of the LNA is compensated by the second gate bias of the IDDG FinFET. 展开更多
关键词 FINFET LNA process variation T-SPICE
原文传递
Reliable buffered clock tree routing algorithm with process variation tolerance 被引量:1
9
作者 CAI Yicit XIONG Yan +1 位作者 HONG Xianlong LIU Yi 《Science in China(Series F)》 2005年第5期670-680,共11页
When IC technology is scaled into the very deep sub-micron regime, the optical proximity effects (OPE) turn into noticeable in optical lithography. Consequently, clock skew becomes more and more susceptible to proce... When IC technology is scaled into the very deep sub-micron regime, the optical proximity effects (OPE) turn into noticeable in optical lithography. Consequently, clock skew becomes more and more susceptible to process variations, such as OPE. In this paper, we propose a new buffered clock tree routing algorithm to prevent the influence of OPE and process variations to clock skew. Based on the concept of BSF (branch sensitivity factor), our algorithm manages to reduce the skew sensitivity of the clock tree in the topology generation. The worst case skew due to the wire width change has been estimated, and proper buffers are inserted to avoid large capacitance load. Experimental results show that our algorithm can produce a more reliable, processinsensitive clock tree, and control clock skews in their permissible range evidently. 展开更多
关键词 clock routing process variation clock skew branch sensitivity factor buffer insertion.
原文传递
Machining Error Control by Integrating Multivariate Statistical Process Control and Stream of Variations Methodology 被引量:4
10
作者 WANG Pei ZHANG Dinghua LI Shan CHEN Bing 《Chinese Journal of Aeronautics》 SCIE EI CAS CSCD 2012年第6期937-947,共11页
For aircraft manufacturing industries, the analyses and prediction of part machining error during machining process are very important to control and improve part machining quality. In order to effectively control mac... For aircraft manufacturing industries, the analyses and prediction of part machining error during machining process are very important to control and improve part machining quality. In order to effectively control machining error, the method of integrating multivariate statistical process control (MSPC) and stream of variations (SoV) is proposed. Firstly, machining error is modeled by multi-operation approaches for part machining process. SoV is adopted to establish the mathematic model of the relationship between the error of upstream operations and the error of downstream operations. Here error sources not only include the influence of upstream operations but also include many of other error sources. The standard model and the predicted model about SoV are built respectively by whether the operation is done or not to satisfy different requests during part machining process. Secondly, the method of one-step ahead forecast error (OSFE) is used to eliminate autocorrelativity of the sample data from the SoV model, and the T2 control chart in MSPC is built to realize machining error detection according to the data characteristics of the above error model, which can judge whether the operation is out of control or not. If it is, then feedback is sent to the operations. The error model is modified by adjusting the operation out of control, and continually it is used to monitor operations. Finally, a machining instance containing two operations demonstrates the effectiveness of the machining error control method presented in this paper. 展开更多
关键词 machining error multivariate statistical process control stream of variations error modeling one-step ahead forecast error error detection
原文传递
A fast-settling frequency-presetting PLL frequency synthesizer with process variation compensation and spur reduction
11
作者 颜小舟 邝小飞 吴南健 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2009年第4期101-105,共5页
This paper proposes a fast-settling frequency-presetting PLL frequency synthesizer. A mixedsignal VCO and a digital processor are developed to accurately preset the frequency of VCO and greatly reduce the settling tim... This paper proposes a fast-settling frequency-presetting PLL frequency synthesizer. A mixedsignal VCO and a digital processor are developed to accurately preset the frequency of VCO and greatly reduce the settling time. An auxiliary tuning loop is introduced in order to reduce reference spur caused by leakage current. The digital processor can automatically compensate presetting frequency variation with process and temperature, and control the operation of the auxiliary tuning loop. A 1.2 GHz integer-N synthesizer with 1 MHz reference input was implemented in a 0.18 μm process. The measured results demonstrate that the typical settling time of the synthesizer is less than 3 μs, and the phase noise is –108 dBc/Hz@1MHz. The reference spur is –52 dBc. 展开更多
关键词 fast-settling frequency synthesizer process variation compensation spur reduction
原文传递
Statistical Elmore delay of RC interconnect tree
12
作者 董刚 杨杨 +1 位作者 柴常春 杨银堂 《Chinese Physics B》 SCIE EI CAS CSCD 2010年第11期35-40,共6页
As feature size keeps scaling down, process variations can dramatically reduce the accuracy in the estimation of interconnect performance. This paper proposes a statistical Elmore delay model for RC interconnect tree ... As feature size keeps scaling down, process variations can dramatically reduce the accuracy in the estimation of interconnect performance. This paper proposes a statistical Elmore delay model for RC interconnect tree in the presence of process variations. The suggested method translates the process variations into parasitic parameter extraction and statistical Elmore delay evaluation. Analytical expressions of mean and standard deviation of interconnect delay can be obtained in a given t^uctuation range of interconnect geometric parameters. Experimental results demonstrate that the approach matches well with Monte Carlo simulations. The errors of proposed mean and standard deviation are less than 1% and 7%, respectively. Simulations prove that our model is efficient and accurate. 展开更多
关键词 statistical delay parasitic extraction RC interconnect process variations
下载PDF
Fast statistical delay evaluation of RC interconnect in the presence of process variations
13
作者 李建伟 董刚 +1 位作者 杨银堂 王增 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2010年第4期104-108,共5页
Fast statistical methods of interconnect delay and slew in the presence of process fluctuations are proposed. Using an optimized quadratic model to describe the effects of process variations, the proposed method enabl... Fast statistical methods of interconnect delay and slew in the presence of process fluctuations are proposed. Using an optimized quadratic model to describe the effects of process variations, the proposed method enables closedform expressions of interconnect delay and slew for the given variations in relevant process parameters. Simulation results show that the method, which has a statistical characteristic similar to traditional methodology, is more efficient compared to HSPICE-based Monte Carlo simulations and traditional methodology. 展开更多
关键词 process variations RC delay static delay
原文传递
Efficient Statistical Leakage Power Analysis Method for Function Blocks Considering All Process Variations
14
作者 骆祖莹 《Tsinghua Science and Technology》 SCIE EI CAS 2007年第S1期67-72,共6页
With technology scaling into nanometer regime, rampant process variations impact visible influences on leakage power estimation of very large scale integrations (VLSIs). In order to deal with the case of large inter- ... With technology scaling into nanometer regime, rampant process variations impact visible influences on leakage power estimation of very large scale integrations (VLSIs). In order to deal with the case of large inter- and intra-die variations, we induce a novel theory prototype of the statistical leakage power analysis (SLPA) for function blocks. Because inter-die variations can be pinned down into a small range but the number of gates in function blocks is large(>1000), we continue to simplify the prototype. At last, we induce the efficient methodology of SLPA. The method can save much running time for SLPA in the low power design since it is of the local-updating advantage. A large number of experimental data show that the method only takes feasible running time (0.32 s) to obtain accurate results (3 σ-error <0.5% on maximum) as function block circuits simultaneous suffer from 7.5%(3 σ/mean) inter-die and 7.5% intra-die length variations, which demonstrates that our method is suitable for statistical leakage power analysis of VLSIs under rampant process variations. 展开更多
关键词 process variations statistical analysis leakage power very large scale integration (VLSI)
原文传递
Computation of sensitivities of IC interconnect parasitic capacitances to the process variation with dual discrete geometric methods
15
作者 高展 任但 +2 位作者 闫帅 徐小宇 任卓翔 《Journal of Semiconductors》 EI CAS CSCD 2016年第8期90-96,共7页
Sensitivity analysis methods help to deal with the challenges of process variation in extraction of para- sitic capacitances in an integrated circuit. The dual discrete geometric methods (DGMs), which have been rece... Sensitivity analysis methods help to deal with the challenges of process variation in extraction of para- sitic capacitances in an integrated circuit. The dual discrete geometric methods (DGMs), which have been recently utilized to extract parasitic capacitances, are reviewed. The computation method based on the dual DGMs for sen- sitivities of capacitances with respect to the given process parameters is presented. As the dual DGMs utilize scalar electric potential is unknown, the capacitances are obtained effectively, and then the sensitivities are calculated conveniently. 展开更多
关键词 capacitance extraction dual discrete geometric methods (DGMs) process variation sensitivity ana- lysis
原文传递
Comparative Performance Evaluation of Large FPGAs with CNFET-and CMOS-based Switches in Nanoscale
16
作者 Mohammad Hossein Moaiyeri Ali Jahanian Keivan Navi 《Nano-Micro Letters》 SCIE EI CAS 2011年第3期178-188,共11页
Routing resources are the major bottlenecks in improving the performance and power consumption of the current FPGAs. Recently reported researches have shown that carbon nanotube field effect transistors(CNFETs) have c... Routing resources are the major bottlenecks in improving the performance and power consumption of the current FPGAs. Recently reported researches have shown that carbon nanotube field effect transistors(CNFETs) have considerable potentials for improving the delay and power consumption of the modern FPGAs. In this paper, hybrid CNFET-CMOS architecture is presented for FPGAs and then this architecture is evaluated to be used in modern FPGAs. In addition, we have designed and parameterized the CNFET-based FPGA switches and calibrated them for being utilized in FPGAs at 45 nm, 22 nm and 16 nm technology nodes.Simulation results show that the CNFET-based FPGA switches improve the current FPGAs in terms of performance, power consumption and immunity to process and temperature variations. Simulation results and analyses also demonstrate that the performance of the FPGAs is improved about 30%, on average and the average and leakage power consumptions are reduced more than 6% and 98% respectively when the CNFET switches are used instead of MOSFET FPGA switches. Moreover, this technique leads to more than 20.31%smaller area. It is worth mentioning that the advantages of CNFET-based FPGAs are more considerable when the size of FPGAs grows and also when the technology node becomes smaller. 展开更多
关键词 Carbon nanotube field effect transistor(CNFET) FPGA switches Performance evaluation Power consumption process variation
下载PDF
Symbolic Macromodeling for Statistical Simulation of Operational Amplifiers
17
作者 Lan-Lan Dong Guo-Yong Shi Jian-Dong Cheng 《Journal of Electronic Science and Technology》 CAS 2013年第3期272-276,共5页
Symbolic circuit simulator is traditionally applied to the small-signal analysis of analog circuits. This paper establishes a symbolic behavioral macromodeling method applicable to both small-signal and large-signal a... Symbolic circuit simulator is traditionally applied to the small-signal analysis of analog circuits. This paper establishes a symbolic behavioral macromodeling method applicable to both small-signal and large-signal analysis of general two-stage operational amplifiers (op-amps). The proposed method creates a two-pole parametric macromodel whose parameters are analytical functions of the circuit element parameters generated by a symbolic circuit simulator. A moment matching technique is used in deriving the analytical model parameter. The created parametric behavioral model can be used for op-amps performance simulation in both frequency and time domains. In particular, the parametric models are highly suited for fast statistical simulation of op-amps in the time-domain. Experiment results show that the statistical distributions of the op-amp slew and settling time characterized by the proposed model agree well with the transistor-level results in addition to achieving significant speedup. 展开更多
关键词 Index Terms---Analog behavioral model large-signalanalysis moment matching operational amplifiers process variation statistical analysis symbolic analysis.
下载PDF
一种新的统计模型提取方法
18
作者 李翡 朱能勇 《中国集成电路》 2022年第5期42-46,共5页
随着半导体技术的不断发展,芯片制程的特征尺寸已由28nm、14nm、向7nm、5nm及以下逐步减小,工艺波动对器件性能的影响也变得越来越明显,因此统计模型对于EDA软件开发,IC设计(IC-Design)显得愈加重要。尤其对于系统波动和随机波动带来的... 随着半导体技术的不断发展,芯片制程的特征尺寸已由28nm、14nm、向7nm、5nm及以下逐步减小,工艺波动对器件性能的影响也变得越来越明显,因此统计模型对于EDA软件开发,IC设计(IC-Design)显得愈加重要。尤其对于系统波动和随机波动带来的器件性能的变化进行了统计分析和参数提取,在良率分析中有着至关重要的作用;为此,统计模型的提取,特别是一些非线性特性的分析应用,需要更多的研究。本文提出一种新的统计模型提取方法-主元向后传递(backward propagation of principal component,BPPC)。其相较传统方法,具有高效易用、极大地降低了统计模型的提取难度,并实现了统计模型从线性到非线性的扩展等特点。本文将从理论分析、算法流程、非线性扩展、应用实例等几部分对BPPC进行具体介绍。 展开更多
关键词 EDA 统计模型(Statistical Model) 工艺变化(process variation) 后向传播方差(BPV) 主元分析(PCA)
下载PDF
Seasonal variations in the concentration and removal of nonylphenol ethoxylates from the wastewater of a sewage treatment plant 被引量:6
19
作者 Dawen Gao Zhe Li +1 位作者 Junxue Guan Hong Liang 《Journal of Environmental Sciences》 SCIE EI CAS CSCD 2017年第4期217-223,共7页
In this study, we investigated the occurrence and fate of nonylphenol(NP), nonylphenol monoethoxylate(NP1EO) and nonylphenol diethoxylate(NP2EO) in a full scale sewage treatment plant, which applied an Anaerobic... In this study, we investigated the occurrence and fate of nonylphenol(NP), nonylphenol monoethoxylate(NP1EO) and nonylphenol diethoxylate(NP2EO) in a full scale sewage treatment plant, which applied an Anaerobic/Oxic process. Concentrations of NP, NP1 EO and NP2 EO in the wastewater were measured during the period spanning a whole year.The results showed remarkable seasonal variation in the concentrations of the compounds.The NPn EO compounds were most abundant in winter, with the total concentrations of influent NP, NP1 EO and NP2 EO ranging from 3900 to 7000 ng/L, 4000 to 4800 ng/L and 5200 to 7200 ng/L, respectively. Regarding the total removal efficiencies of the three types of short-chain NPn EO compounds, different trends were exhibited according to different seasons. The average removal efficiency of NP for the different seasons ranked as follows:winter 〉 summer 〉 autumn 〉 spring; NP2 EO concentrations decreased as follows: summer 〉autumn 〉 winter 〉 spring, while NP1 EO concentrations reduced according to: spring 〉summer 〉 autumn 〉 winter. We also investigated the contribution ratio of individual treatment units in the A/O process, with the findings suggesting that the anaerobic treatment unit plays an important role in the elimination of short-chain NPn EOs from the wastewater. 展开更多
关键词 Nonylphenol ethoxylates Seasonal variation A/O process Sewage treatment plants
原文传递
Fast Level-Set-Based Inverse Lithography Algorithm for Process Robustness Improvement and Its Application 被引量:1
20
作者 耿臻 史峥 +2 位作者 严晓浪 罗凯升 潘伟伟 《Journal of Computer Science & Technology》 SCIE EI CSCD 2015年第3期629-638,共10页
Inverse lithography technology (ILT) is one of the promising resolution enhancement techniques (RETs), as the advanced integrated circuits (IC) technology nodes still use the 193 nm light source. Among all the a... Inverse lithography technology (ILT) is one of the promising resolution enhancement techniques (RETs), as the advanced integrated circuits (IC) technology nodes still use the 193 nm light source. Among all the algorithms for ILT, the level-set-based ILT (LSB-ILT) is a feasible choice with good production result in practice. However, existing ILT algorithms optimize masks at nominal process condition without giving sufficient attention to the process variations, and thus the optimized masks show poor performance with focus and dose variations. In this paper, we put forward a new LSB-ILT algorithm for process robustness improvement with fast convergence. In order to account for the process variations in the optimization, we adopt a new form of the cost function by adding the objective function of process variation band (PV band) to the nominal cost. We also adopt the hybrid conjugate gradient (CG) method to reduce the runtime of the algorithm. We perform experiments on ICCAD 2013 benchmarks and the results show that our algorithm outperforms the top two winners of the ICCAD 2013 contest by 6.5%. We also adopt the attenuated phase shift mask (att-PSM) in the experiment with test cases from industry. The results show that our new algorithm has a fast convergence speed and reduces the process manufacturability index (PMI) by 38.77% compared with the LSB-ILT algorithm without the consideration of PV band. 展开更多
关键词 inverse lithography technology level set process variation band process window hybrid conjugate gradient
原文传递
上一页 1 2 下一页 到第
使用帮助 返回顶部