期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
一种FPGA芯片中DSP模块的内建自测试方法 被引量:1
1
作者 孙洁朋 魏建民 +1 位作者 闫华 丛红艳 《电子与封装》 2017年第10期9-12,共4页
提出了一种针对Xilinx Virtex-4/5系列FPGA芯片中嵌入式数字信号处理器(DSP)的内置自检测试(BIST)和故障诊断方法。该方法可以对DSP电路中乘法器和加法器进行有效的测试,缩短测试时间,减少工作量。同时通过更改DSP的配置信息来实现全芯... 提出了一种针对Xilinx Virtex-4/5系列FPGA芯片中嵌入式数字信号处理器(DSP)的内置自检测试(BIST)和故障诊断方法。该方法可以对DSP电路中乘法器和加法器进行有效的测试,缩短测试时间,减少工作量。同时通过更改DSP的配置信息来实现全芯片DSP的功能测试,提高了DSP模块的测试故障覆盖率。 展开更多
关键词 内置自检测 乘法器测试 法器测试 DSP FPGA VIRTEX-4
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部