期刊文献+
共找到2篇文章
< 1 >
每页显示 20 50 100
支持日志乱序提交的分布式一致性协议
1
作者 王进 李博涵 +1 位作者 吴佳骏 宋欣洋 《浙江大学学报(工学版)》 EI CAS CSCD 北大核心 2023年第2期320-329,共10页
为了解决Raft算法中日志提交的严格串行化设计,提出一种Raft协议变体:并行提交Raft (PC-Raft). PCRaft在日志提交阶段运用流水线,重新设计日志缓冲区,实现日志的乱序提交.传输方式使用RDMA网络,在提高日志传输的并发性的同时加快传输速... 为了解决Raft算法中日志提交的严格串行化设计,提出一种Raft协议变体:并行提交Raft (PC-Raft). PCRaft在日志提交阶段运用流水线,重新设计日志缓冲区,实现日志的乱序提交.传输方式使用RDMA网络,在提高日志传输的并发性的同时加快传输速度.在日志执行阶段,采用批处理,将多条指令打包发送给状态机逐条执行.针对日志并行提交情况下会出现的幽灵复现问题,采用LSN与任期号结合的方式保证日志的安全性.针对日志乱序提交会出现的日志空洞问题,重新设计领导者选举算法,在选举中加入临时领导者,保证选举出的领导者能最快恢复日志.测试结果证明PC-Raft对比Raft在吞吐量方面有着明显的性能提升,同时延迟更低,并且在日志指令依赖频繁的情况下,吞吐量比现有基于Raft的变体更高,延迟也更低. 展开更多
关键词 一致性协议 Paxos RAFT PC-Raft 乱序提交
下载PDF
超标量处理器乱序提交机制的研究与设计 被引量:1
2
作者 李昭 刘有耀 +1 位作者 焦继业 潘树朋 《计算机工程》 CAS CSCD 北大核心 2021年第4期180-186,共7页
针对超标量处理器中长周期执行指令延迟退休及持续译码导致的重排序缓存(ROB)阻塞问题,提出一种指令乱序提交机制。通过设计容量可配置的多缓存指令提交结构,实现存储器操作指令和ALU类型指令的分类退休,根据超标量处理器架构及性能需... 针对超标量处理器中长周期执行指令延迟退休及持续译码导致的重排序缓存(ROB)阻塞问题,提出一种指令乱序提交机制。通过设计容量可配置的多缓存指令提交结构,实现存储器操作指令和ALU类型指令的分类退休,根据超标量处理器架构及性能需求对目标缓存和存储缓存容量进行参数化配置降低流水线阻塞风险,同时利用指令目的寄存器编码提交模式加快指令提交速率。实验结果表明,该机制提高了单次指令提交数量,基于该机制的超标量处理器相比传统基于ROB顺序提交机制的超标量处理器在减少硬件开销的情况下平均IPC指数提升46%,相比基于值预测、乱序退休和组提交的超标量处理器平均IPC指数增益为19%,综合性能更优。 展开更多
关键词 超标量处理器 重排序缓存 指令分类退休 乱序提交 目的寄存器编码
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部