期刊文献+
共找到68篇文章
< 1 2 4 >
每页显示 20 50 100
多种分辨率HDMI接口的TMDS信号转为LVDS格式实现方法研究
1
作者 祝月文 王哲 +1 位作者 王素珍 邹开元 《中国电子科学研究院学报》 2024年第3期272-280,共9页
为了将图像仿真系统输出的高清多媒体接口(High Definition Multimedia Interface,HDMI)最小化传输差分信号(Transition Minimized Differential Signaling,TMDS)转换为摄像头模组接口低电压差分信号(Low-Voltage Differential Signalin... 为了将图像仿真系统输出的高清多媒体接口(High Definition Multimedia Interface,HDMI)最小化传输差分信号(Transition Minimized Differential Signaling,TMDS)转换为摄像头模组接口低电压差分信号(Low-Voltage Differential Signaling,LVDS),文章联合使用ITE68051芯片和现场可编程逻辑门阵列芯片(Field Programmable Gate Array,FPGA)实现了相应的转换。首先,将高清、超高清HDMI接口的TMDS信号通过ITE68051视频处理芯片进行TMDS解码,以双端口方式输出并行RGB数字信号;然后,应用FPGA易编程性的特点,将多种分辨率的RGB信号转换为相应的LVDS信号。联合应用ITE68051和FPGA芯片架构的转换系统,便于视频设备之间的兼容和系统后续升级,为自动驾驶仿真系统的视频信号输入提供了可靠的硬件接口通道。 展开更多
关键词 现场可编程逻辑门阵列 ITE68051视频处理芯片 最小化传输差分信号 低电压差分信号 高清多媒体接口
下载PDF
低电压差分传输信号(LVDS)接口芯片研发成功
2
《信息技术与信息化》 2006年第4期16-16,共1页
山东芯元微电子有限公司(滨州)2006年7月7日宣布,其低电压差分传输信号(LVDS)接口芯片研发成功。经山东省科技厅、信息产业厅组织的专家鉴定认为,该芯片采用0.18um标准CMOS工艺和创新电路设计,具有摆幅小、功耗低、内核面积小... 山东芯元微电子有限公司(滨州)2006年7月7日宣布,其低电压差分传输信号(LVDS)接口芯片研发成功。经山东省科技厅、信息产业厅组织的专家鉴定认为,该芯片采用0.18um标准CMOS工艺和创新电路设计,具有摆幅小、功耗低、内核面积小的优点,性能指标达到IEEE Std 1596.3—1996标准的相关要求,技术水平达到了国内领先水平、填补了国内空白,建议尽快推进产业化进程。 展开更多
关键词 接口芯片 低电压差分传输信号 CMOS工艺 产业化进程
下载PDF
通过低电压差分信号(LVDS)传输高速信号
3
《国外电子元器件》 2008年第8期76-77,共2页
低电压差分信号(LVDS)非常适合时钟分配和一点到多点之间的信号传输。在此描述了使用LVDS将高速信号分配到多个目的端的方法。
关键词 低电压差分信号 信号传输 高速信号 点到多点 时钟分配 信号分配 lvds
下载PDF
端接未使用的低电压差分信号总线输入 被引量:1
4
作者 何浩然 《今日电子》 2004年第3期11-12,共2页
本文向应用工程师介绍高频负载板(loadboard)设计中如何适当端接未使用的低压差分信号(LVDS)输入。文中为达到设计目标做了指导性的介绍。
关键词 低电压差分信号 总线技术 lvds 电阻值
下载PDF
千兆比特数据率LVDS接口电路设计 被引量:4
5
作者 矫逸书 周玉梅 蒋见花 《固体电子学研究与进展》 CAS CSCD 北大核心 2010年第1期119-123,共5页
设计了一个采用0.18μm1.8V/3.3V CMOS工艺制造的千兆比特数据率LVDS I/O接口电路。发送器电路采用内部参考电流源和片上匹配电阻,使工艺偏差、温度变化对输出信号幅度的影响减小50%;接收器电路采用一种改进的结构,通过检测输入共模电平... 设计了一个采用0.18μm1.8V/3.3V CMOS工艺制造的千兆比特数据率LVDS I/O接口电路。发送器电路采用内部参考电流源和片上匹配电阻,使工艺偏差、温度变化对输出信号幅度的影响减小50%;接收器电路采用一种改进的结构,通过检测输入共模电平,自适应调整预放大器偏置电压,保证跨导Gm在LVDS标准[1]要求的共模范围内恒定,因此芯片在接收端引入的抖动最小。芯片面积0.175mm2,3.3V电源电压下功耗为33mW,测试表明此接口传输速率达到1Gb/s。 展开更多
关键词 低电压差分信号传输 高速接口 发送器 接收器
下载PDF
高性能CMOS LVDSI/O接口单元的设计研究 被引量:3
6
作者 雷鑑铭 邹雪城 +1 位作者 陈军 刘三清 《华中科技大学学报(自然科学版)》 EI CAS CSCD 北大核心 2003年第4期76-78,共3页
提出了一种适用于笔记本电脑平板显示器接口的高性能CMOSLVDSI/O接口单元 ,着重分析了高性能CMOSLVDSI/O接口单元电路结构及其工作原理 ,基于TSMC的 3.3V 0 .2 5 μmCMOSSPICE模型 ,在Cadence的环境下用Spectre仿真器进行模拟 ,仿真结... 提出了一种适用于笔记本电脑平板显示器接口的高性能CMOSLVDSI/O接口单元 ,着重分析了高性能CMOSLVDSI/O接口单元电路结构及其工作原理 ,基于TSMC的 3.3V 0 .2 5 μmCMOSSPICE模型 ,在Cadence的环境下用Spectre仿真器进行模拟 ,仿真结果充分体现了该LVDSI/O接口单元的高速率。 展开更多
关键词 低压差分信号 平板显示 CMOS lvds I/O接口 接口设计 电路结构 工作原理
下载PDF
LVDS高速接口电路设计 被引量:7
7
作者 胡二虎 汪东旭 《电子测量技术》 2003年第2期35-36,共2页
LVDS(Low Voltage Differential Signaling)是一种低摆幅的差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和恒流驱动输出实现了低噪声和低功耗。文中提出了一种接口电路,在0.35μm CMOS工艺上仿真... LVDS(Low Voltage Differential Signaling)是一种低摆幅的差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和恒流驱动输出实现了低噪声和低功耗。文中提出了一种接口电路,在0.35μm CMOS工艺上仿真达到1Gbps,信号符合LVDS标准的要求。 展开更多
关键词 lvds 接口电路 差分信号技术 模拟集成电路 接收器
下载PDF
一款低功耗的高速CMOS LVDS信号接收器 被引量:5
8
作者 丁艳玲 《电子技术应用》 北大核心 2015年第3期55-57,共3页
针对高速数据传输的需要,设计一款低功耗的高速C MOS LVDS(低电压差分信号)接收器。接收器采用S MIC 0.13μm CMOS工艺,应用工艺中提供的厚栅氧化器件(3.3 V器件)和薄栅氧化器件(1.2 V器件)两种器件,使其满足输入L VDS信号的共模电压范... 针对高速数据传输的需要,设计一款低功耗的高速C MOS LVDS(低电压差分信号)接收器。接收器采用S MIC 0.13μm CMOS工艺,应用工艺中提供的厚栅氧化器件(3.3 V器件)和薄栅氧化器件(1.2 V器件)两种器件,使其满足输入L VDS信号的共模电压范围为0.05 V^2.4 V、差模电压范围为100 m V^400 m V的情况下工作,完全符合L VDS接口标准的要求。所设计芯片具有功耗低、传输速度快、成本低等优点。 展开更多
关键词 低电压差分信号 lvds I/O 低功率芯片 高速集成电路
下载PDF
星载LVDS总线通信接口研究 被引量:7
9
作者 李新贝 高山 +1 位作者 谭超 邵根忠 《航天器工程》 2011年第4期111-116,共6页
为了提高航天器系统低电压差分信号(Low Voltage Differential Signaling,LVDS)总线通信接口设计的合理性,文章基于叠加定理对该总线接口电路建立了等效电路模型,从理论上探讨了总线偏置电阻的选取范围,并结合节点电压法计算出了接收器... 为了提高航天器系统低电压差分信号(Low Voltage Differential Signaling,LVDS)总线通信接口设计的合理性,文章基于叠加定理对该总线接口电路建立了等效电路模型,从理论上探讨了总线偏置电阻的选取范围,并结合节点电压法计算出了接收器输入端差分信号的电位,运用Matlab 7.0.1软件绘制出了该信号与传输线阻抗R之间的关系曲线,确定了传输线阻抗R的选取范围为46Ω≤R≤55Ω,结合理论和工程实践给出了传输线阻抗的优选范围为50Ω≤R≤55Ω,可保证LVDS通信的可靠性。 展开更多
关键词 卫星 低电压差分信号 接口电路 MATLAB软件
下载PDF
LVDS接口电路及设计 被引量:12
10
作者 朝良 《今日电子》 2003年第1期17-18,共2页
本文介绍了LVDS接口的基本原理和电特性,通过与其他接口技术进行对比,反映出LVDS接口在高速数据传输应用方面的优势,并结合实例指出了LVDS接口电路的设计原则。
关键词 接口电路 低电压差分信号 电压摆幅 驱动器 接收器
下载PDF
8通道12位70MSPS带LVDS接口的ADC
11
作者 《电子产品世界》 2004年第03A期59-60,共2页
关键词 低电压差分信号传输 lvds接口 ADC ADS527x系列 技术指标
下载PDF
串行LVDS接口ADC改善电路板的布线设计
12
作者 RobertLe Boeuf 《电子设计应用》 2005年第11期127-127,130,共2页
关键词 布线设计 lvds接口 ADC 电路板 差分信号 串行 共模信号 信号调理 单端信号
下载PDF
低抖动LVDS多路转换器/缓冲器二合一芯片 采用LLP封装,有助于缩小芯片体积并提高信号的完整性
13
《今日电子》 2006年第6期88-88,共1页
三款信号抖动只有18ps的多路转换器/缓冲器二合一芯片DS15MB200、SCAN15MB200及DS08MB200的特点是可以确保低电压差分信号传输(LVDS)接口所传送的信号几乎完整无缺,失真小,不但适用于以电缆及底板进行点至点传送的网络系统,而且... 三款信号抖动只有18ps的多路转换器/缓冲器二合一芯片DS15MB200、SCAN15MB200及DS08MB200的特点是可以确保低电压差分信号传输(LVDS)接口所传送的信号几乎完整无缺,失真小,不但适用于以电缆及底板进行点至点传送的网络系统,而且还可将LVDS信号驱动到更远的范围之外。 展开更多
关键词 多路转换器 信号抖动 缓冲器 LLP封装 芯片 lvds 低电压差分信号传输 体积
下载PDF
美国国家半导体公布一项可将LVDS输出信号串行一起的模拟/数字转换技术
14
《集成电路应用》 2003年第3期15-16,共2页
1月29日,美国国家半导体公司 (NationalSemiconductor Corporation)宣布利用其先进的数据转换设计及低电压差分信号传输(LVDS)技术,成功推出一款可将LVDS输出信号串行一起、并可同时驱动嵌入时钟的模拟/数字转换器。在极高速的操作环境... 1月29日,美国国家半导体公司 (NationalSemiconductor Corporation)宣布利用其先进的数据转换设计及低电压差分信号传输(LVDS)技术,成功推出一款可将LVDS输出信号串行一起、并可同时驱动嵌入时钟的模拟/数字转换器。在极高速的操作环境下,模拟/数字转换器的输出很易产生噪音。 展开更多
关键词 美国国家半导体公司 lvds 模拟/数字转换技术 低电压差分信号传输
下载PDF
适用于笔记本电脑的高性能CMOS LVDS驱动器的设计 被引量:2
15
作者 雷鑑铭 邹雪城 +1 位作者 刘三清 东振中 《微电子学》 CAS CSCD 北大核心 2003年第5期450-452,共3页
 提出了一种适用于笔记本电脑平板显示器接口的高性能CMOSLVDS(LowVoltageDifferentialSignaling)驱动器的设计方法。用高性能CMOSLVDS驱动器作I/O接口单元是减小当前CMOS工艺芯片内外速度差异的重要手段。文章着重分析了高性能CMOSLVD...  提出了一种适用于笔记本电脑平板显示器接口的高性能CMOSLVDS(LowVoltageDifferentialSignaling)驱动器的设计方法。用高性能CMOSLVDS驱动器作I/O接口单元是减小当前CMOS工艺芯片内外速度差异的重要手段。文章着重分析了高性能CMOSLVDS驱动器的电路结构及其工作原理,采用TSMC的0.25μmCMOS工艺模型,在Cadence环境下用Spectre仿真器进行模拟,给出了该驱动器的仿真结果。 展开更多
关键词 笔记本电脑 低压差分信号 CMOS 驱动器 平板显示 lvds 电路结构 数字接口
下载PDF
基于FPGA和LVDS技术的光缆传输技术 被引量:4
16
作者 张时华 任勇峰 +1 位作者 李圣昆 刘鑫 《电子设计工程》 2009年第3期69-70,79,共3页
为了解决弹上记录器和地面测试台之间高速数据流远距离传输问题,提出一种利用低电压差分信号(LVDS)接口器件实现数据远距离传输的设计方案。实验证明该方案传输速度达到20 Mb/s,传输距离达到300 m,传输速度和传输距离得到显著提高。该... 为了解决弹上记录器和地面测试台之间高速数据流远距离传输问题,提出一种利用低电压差分信号(LVDS)接口器件实现数据远距离传输的设计方案。实验证明该方案传输速度达到20 Mb/s,传输距离达到300 m,传输速度和传输距离得到显著提高。该优秀的长线传输技术已成功应用于在某项目中。 展开更多
关键词 电路 传输 低电压差分信号(lvds) 光缆
下载PDF
基于FPGA的总线型LVDS通信系统设计 被引量:1
17
作者 卜佑军 来卫国 《单片机与嵌入式系统应用》 2003年第5期36-38,共3页
总线型低压差分信号(BLVDS)是一种性能优良的物理层接口标准。本文介绍一种基于总线型LVDS的通信系统方案,以及利用FPGA芯片实现系统核心模块的设计方法。该方案可广泛使用在高速通信领域,具有较高的应用价值。
关键词 总线型低压差分信号 lvds 高速通信系统 FPGA 设计 物理层接口标准
下载PDF
基于RS422+LVDS高速长线通信的设计与实现 被引量:9
18
作者 李建翔 王洪凯 +2 位作者 杨玉华 刘东海 李秋媛 《仪表技术与传感器》 CSCD 北大核心 2021年第3期40-44,96,共6页
为了改善高速数据长距离传输时可靠性低的问题,文中提出了一种软硬件相结合的数据传输方案。该设计在指令下发和状态返回的电路中采用RS422接口电路,并选用ADM2682E磁隔离芯片,在保证可靠性的前提下极大简化电路;在数据传输中采用LVDS... 为了改善高速数据长距离传输时可靠性低的问题,文中提出了一种软硬件相结合的数据传输方案。该设计在指令下发和状态返回的电路中采用RS422接口电路,并选用ADM2682E磁隔离芯片,在保证可靠性的前提下极大简化电路;在数据传输中采用LVDS接口电路,选用SN65LV1023A串化器以及SN65LV1224B解串器,并配合驱动器和均衡器进行驱动和补偿,使得信号能够进行高速远距离传输。为了提高抗干扰性能,还在嵌入式软件的指令模块增加校验字环节,提高指令识别的可靠性;数据传输中采用半字节CRC校验的方法,降低误码率。经验证,此方案能够在90 m长的电缆中实现240 Mbit/s的零误码传输,稳定可靠,满足任务要求。 展开更多
关键词 FPGA RS422串行接口 低电压差分信号 半字节循环冗余校验 校验字
下载PDF
LVDS技术在高速遥感数据接收系统中的应用 被引量:4
19
作者 王艳龙 陈金树 《电讯技术》 2005年第1期170-173,共4页
分析了高速遥感数据接收系统子系统之间的互连需求与发展趋势,指出了传统的ECL互连方案的不足,提出了基于低压差分信号(LVDS)技术的互连方案,并搭建实验系统验证了方案的可行性。新方案显著地降低了子系统间互连的复杂度。
关键词 遥感卫星 高速数据接收系统 低电压差分信号(lvds) 串行化与解串化(SERDES)
下载PDF
基于LVDS的高速数据回读系统设计 被引量:6
20
作者 薛栋 李杰 +1 位作者 张德彪 王瀚 《中北大学学报(自然科学版)》 CAS 2021年第2期135-139,共5页
针对某型号弹体在发射和飞行过程中被测参数信号存在脉宽极窄的现象,其内置离线式采集系统在设计时会采用高采样率的模数转换器(ADC),但存在固态存储器(Flash)最终存储的数据量太大、现有回读系统速率慢、回读时间过长、靶场实验无法继... 针对某型号弹体在发射和飞行过程中被测参数信号存在脉宽极窄的现象,其内置离线式采集系统在设计时会采用高采样率的模数转换器(ADC),但存在固态存储器(Flash)最终存储的数据量太大、现有回读系统速率慢、回读时间过长、靶场实验无法继续进行等问题.基于此,设计了一种可以将数据高速回读的系统.系统使用现场可编辑门阵列(FPGA)作为主控模块,利用FPGA内部资源搭建低电压差分信号(LVDS)接口用于数据回读;使用Visual Studio编写上位机,完成指令和数据的交互,实现数据的及时回读.实验结果表明,该系统回读速率达100 MB/s,且无误码、丢帧现象. 展开更多
关键词 低电压差分信号(lvds) 高速数据回读 现场可编辑门阵列(FPGA)
下载PDF
上一页 1 2 4 下一页 到第
使用帮助 返回顶部