期刊文献+
共找到16篇文章
< 1 >
每页显示 20 50 100
参数化模块库技术在航电系统数据通讯中的应用 被引量:2
1
作者 刁立峰 《现代电子技术》 2008年第18期28-30,34,共4页
某型作战飞机航电系统数据通讯采用1553B总线。介绍总线系统的组成,讨论其通讯功能的硬件及软件实现的问题。此外在软件部分提出一种利用LPM技术简化设计过程实现数据通信的新方法。航电系统数字电路模块采用LPM技术设计,以LPM为基础宏... 某型作战飞机航电系统数据通讯采用1553B总线。介绍总线系统的组成,讨论其通讯功能的硬件及软件实现的问题。此外在软件部分提出一种利用LPM技术简化设计过程实现数据通信的新方法。航电系统数字电路模块采用LPM技术设计,以LPM为基础宏单元模块实现原理图的图形输入。在校验阶段结合计数器实例说明基本数字电路模块时序仿真的实现。最终整个系统设计在工作性能方面完全满足航电系统的通讯要求。此输入方法设计先进、通用性好、自动化程度高,与功能强大的硬件描述语言相配合,可广泛应用于复杂的可编程逻辑器件以及在线可编程逻辑门阵列等数字系统设计中。 展开更多
关键词 参数模块 1553B总线 现场可编程门阵列 硬件描述语言
下载PDF
Auto CAD 参数化绘图模块库的建立
2
作者 曾红 刘东菊 苏铁明 《辽宁工学院学报》 1997年第4期11-13,共3页
介绍了AutoCAD软件的几种扩充方式,提出了用AutoCAD内部的C语言开发系统ADS建立绘图模块库的方法。利用该绘图模块库可以简便地编制各种机械零件工作图的绘图程序,将绘图应用程序编译成可执行文件在AutoCAD... 介绍了AutoCAD软件的几种扩充方式,提出了用AutoCAD内部的C语言开发系统ADS建立绘图模块库的方法。利用该绘图模块库可以简便地编制各种机械零件工作图的绘图程序,将绘图应用程序编译成可执行文件在AutoCAD环境下运行,从而既利用了AutoCAD环境强大的图形显示和编辑功能,又利用了C语言的结构化编程、运行效率高的优势,并可达到参数化绘图的目的。 展开更多
关键词 参数 ADS语言 绘图模块 机械绘图
下载PDF
弧面凸轮间歇摆动驱动装置的模块化设计与参数化
3
作者 王月华 葛正浩 陈玉刚 《机械传动》 CSCD 北大核心 2005年第2期37-39,共3页
弧面凸轮间歇摆动驱动装置是一种全新的摆动装置。本文介绍了该装置的特点,提出在该产品设计过程中,根据市场需求、产品成本降低来确定系列参数;在此基础上对该产品进行功能分析,建立功能模块系统,应用模块化设计方法求得用最少的模块... 弧面凸轮间歇摆动驱动装置是一种全新的摆动装置。本文介绍了该装置的特点,提出在该产品设计过程中,根据市场需求、产品成本降低来确定系列参数;在此基础上对该产品进行功能分析,建立功能模块系统,应用模块化设计方法求得用最少的模块组合出范围广的该装置系列产品;并介绍了参数化图库的建立过程,完成了该装置的模块化设计。 展开更多
关键词 驱动装置 弧面凸轮 间歇 模块设计方法 产品设计过程 参数 摆动装置 市场需求 成本降低 功能分析 模块系统 系列产品 模块组合
下载PDF
模块化夹具设计的研究和应用
4
作者 王亚梅 刘建伟 《中国新技术新产品》 2013年第1期16-16,共1页
利用夹具设计中常用到的典型夹具结构、拓扑结构和通用元件,应用UG软件三维参数化建立主模型,利用IMAN的PORTAL导入到工装资源库,在资源库中建立典型夹具结构、拓扑结构和通用元件模型分类结构,并加已命名、标注属性、分类、两维、三维... 利用夹具设计中常用到的典型夹具结构、拓扑结构和通用元件,应用UG软件三维参数化建立主模型,利用IMAN的PORTAL导入到工装资源库,在资源库中建立典型夹具结构、拓扑结构和通用元件模型分类结构,并加已命名、标注属性、分类、两维、三维预览图,然后将实例保存,供以后类似结构设计用或选择通用单元,提高设计速度和准确性。 展开更多
关键词 模块设计 工装资源 参数模型
下载PDF
基于SolidWorks的中小孔数控内圆磨床参数化设计方法的研究
5
作者 郑春涛 沙杰 于胜昔 《机械工程师》 2012年第4期58-60,共3页
利用VisualBasic和SolidWorks作为开发工具,开发一种基于SolidWorks的中小孔数控内圆磨床参数化设计方法。阐述了这种参数化模板设计方法,包括中小孔数控内圆磨床功能模块划分、模块结构尺寸设计参数分类、模块零部件间关联参数的处理... 利用VisualBasic和SolidWorks作为开发工具,开发一种基于SolidWorks的中小孔数控内圆磨床参数化设计方法。阐述了这种参数化模板设计方法,包括中小孔数控内圆磨床功能模块划分、模块结构尺寸设计参数分类、模块零部件间关联参数的处理方法、三维模板库的建立等,运用模块参数化设计方法构建了一个中小孔数控内圆磨床模块库。 展开更多
关键词 内圆磨床 参数设计 SOLIDWORKS 模块 约束
下载PDF
基于Engineering base的参数化电气设计 被引量:2
6
作者 梁逢春 柴华 《自动化技术与应用》 2017年第9期53-55,共3页
在分析行业内的电气设计的特点以及传统设计模式的基础上,基于Engineering Base软件平台,提出利用EXCEL表单的参数化配置的电气设计模式,自动完成产品报价、电气图纸及表单设计,旨在提升设计效率,提高设计质量。
关键词 电气设计 项目 参数 数据结构 模块设计
下载PDF
关于在CATIA V5中建立零部件库的方法 被引量:5
7
作者 叶鹏 杨波 熊欣 《CAD/CAM与制造业信息化》 2011年第1期33-36,共4页
本文介绍了建立CATIA参数化零件库的主要两种措施:CATIA内部知识工程模块技术以及编程开发技术,对编程开发技术又从进程内和进程外进一步加以介绍。本文着重讲解了用知识工程模块建立参数化零件库的方法和步骤,并对比分析了各方法的优缺... 本文介绍了建立CATIA参数化零件库的主要两种措施:CATIA内部知识工程模块技术以及编程开发技术,对编程开发技术又从进程内和进程外进一步加以介绍。本文着重讲解了用知识工程模块建立参数化零件库的方法和步骤,并对比分析了各方法的优缺点,以及运用各方法在开发过程中需注意的事项。 展开更多
关键词 CATIA 零部件 模块技术 开发过程 知识工程 零件 参数 编程
下载PDF
基于UG的注塑模具三维标准件库的建立 被引量:2
8
作者 周武京 姜献峰 柴国钟 《浙江工业大学学报》 CAS 2005年第2期216-218,共3页
以快速生产为目标的企业信息化管理系统中,为提高产品的设计效率,根据企业要求的标准件库的建立必不可少.以CAD软件UG为平台,通过对其二次开发方法的分析选择,根据企业的特点提出了一种建立注塑模三维参数化标准件库的方法,分析了各功... 以快速生产为目标的企业信息化管理系统中,为提高产品的设计效率,根据企业要求的标准件库的建立必不可少.以CAD软件UG为平台,通过对其二次开发方法的分析选择,根据企业的特点提出了一种建立注塑模三维参数化标准件库的方法,分析了各功能模块的功能和特点,并利用开发软件VC和数据库管理系统SQLServer对注塑模设计中用到的部分零件进行了实现.实现结果表明利用这种方法方便灵活,建立的标准件库占用内存少,能够方便的进行库的更改和扩充.该标准件库在企业注塑模具设计中得到了充分应用,取得了预期的满意效果,并且能容易地实现与信息化管理系统其它模块的集成. 展开更多
关键词 三维标准件 注塑模具 UG 信息管理系统 参数标准件 数据管理系统 二次开发方法 Server CAD软件 注塑模设计 快速生产 设计效率 功能模块 开发软件 模具设计 企业 SQL 特点 VC 内存 更改
下载PDF
ICADE:一个基于工程数据库的机械CAD环境 被引量:1
9
作者 焦国方 刘慎权 《计算机辅助设计与图形学学报》 EI CSCD 1991年第2期27-33,共7页
在机械等行业中,产品设计是机械CAD的中心任务,其目的是提高产品设计的速度与改善产品的性能。要达到这一点,关键是CAD系统的信息集成化和功能集成化。为此我们设计开发了一个基于工程数据库的、面向一般机械设计的CAD环境(ICADE),它可... 在机械等行业中,产品设计是机械CAD的中心任务,其目的是提高产品设计的速度与改善产品的性能。要达到这一点,关键是CAD系统的信息集成化和功能集成化。为此我们设计开发了一个基于工程数据库的、面向一般机械设计的CAD环境(ICADE),它可作为一般机械应用系统的核心系统。本文重点讨论了信息的集成化结构功能模块的与集成化问题。 展开更多
关键词 工程数据 ICADE 信息集成 功能模块 标准件 参数设计 产品设计 实体造型 设计过程 图形编辑
下载PDF
基于FPGA的一种虚拟多波形智能函数发生器的有效方法 被引量:1
10
作者 姚宁 《许昌学院学报》 CAS 2018年第4期55-61,共7页
以原理图和文本硬件描述语言(VHDL)的混合输入方式,利用参数可设置模块库(LPM),在Quartus Ⅱ 9.0软件上完成频率可调的多波形智能函数发生器设计和仿真验证.并在装有Cyclone Ⅱ系列FPGA芯片(EP2C35F672C8)的实验箱上利用嵌入式逻辑分析... 以原理图和文本硬件描述语言(VHDL)的混合输入方式,利用参数可设置模块库(LPM),在Quartus Ⅱ 9.0软件上完成频率可调的多波形智能函数发生器设计和仿真验证.并在装有Cyclone Ⅱ系列FPGA芯片(EP2C35F672C8)的实验箱上利用嵌入式逻辑分析仪(Signal Tap Ⅱ)完成波形验证.实验结果表明,利用此方式设计的函数发生器波形选择性好、灵活性强、波形好,并且有利于后续对函数发生器的改进和对其它功能的扩展. 展开更多
关键词 FPGA 参数可设置模块(lpm) Quartus SignalTap 函数发生器
下载PDF
基于FPGA的出租车计程计费器项目教学设计 被引量:2
11
作者 吴进 《无线互联科技》 2020年第4期82-84,共3页
在高职"可编程逻辑器件技术"的课程教学中,学生对于用硬件描述语言VHDL或Verilog进行复杂系统的设计有一定的难度。文章探讨了以项目化教学模式组织教学,采用EDA工具中的参数化模块库LPM,进行基于可编程逻辑器件FPGA技术的出... 在高职"可编程逻辑器件技术"的课程教学中,学生对于用硬件描述语言VHDL或Verilog进行复杂系统的设计有一定的难度。文章探讨了以项目化教学模式组织教学,采用EDA工具中的参数化模块库LPM,进行基于可编程逻辑器件FPGA技术的出租车计程计费器的项目教学设计。教学效果表明,学生对FPGA技术的设计流程有了进一步的理解,对FPGA技术的应用能力得到了较大的提高,取得了很好的教学效果。 展开更多
关键词 项目教学 出租车计程计费器 现场可编程逻辑器件技术 参数模块
下载PDF
基于FPGA的直接数字频率合成器的设计 被引量:4
12
作者 葛磊 夏标 《电子元器件应用》 2009年第7期23-25,共3页
介绍了直接数字频率合成器(DDS)的工作原理和设计方法,给出了用现场可编程门阵列(FPGA)来实现直接数字频率合成的具体方法,同时给出了基于FPGA实现的直接数字频率合成器的时序仿真结果。
关键词 DDS FPGA FLEX10K MAX+plusⅡ Quartus 参数模块(lpm)
下载PDF
高阶FIR滤波器的计算机设计与FPGA实现 被引量:1
13
作者 华玲 常建平 《现代电子技术》 2011年第2期99-102,共4页
根据有限冲击响应(FIR)数字滤波器的原理,综合运用Matlab和FPGA的QuartusⅡ两大计算机软件,提出了一种利用QuartusⅡ中参数化宏功能模块(LPM)的FIR滤波器设计方法。首先利用Matlab设计滤波器系数,再利用Quar-tusⅡ的LPM构造的乘法器和... 根据有限冲击响应(FIR)数字滤波器的原理,综合运用Matlab和FPGA的QuartusⅡ两大计算机软件,提出了一种利用QuartusⅡ中参数化宏功能模块(LPM)的FIR滤波器设计方法。首先利用Matlab设计滤波器系数,再利用Quar-tusⅡ的LPM构造的乘法器和加法器,最终得到滤波结果。相比分布式算法,该法在信号处理速率要求不高,且滤波器阶数较高的情况下,可更加简单地实现滤波效果。最终在Matlab和QuartusⅡ的基础上,实现了64阶的高阶FIR数字滤波器电路的设计与仿真。 展开更多
关键词 FIR滤波器 MATLAB Quartus 参数功能模块
下载PDF
基于FPGA的直接数字频率合成器的设计
14
作者 葛磊 夏标 《中国集成电路》 2008年第9期76-79,共4页
本文介绍直接数字频率合成(DDS)的工作原理、设计方法以及如何用现场可编程门阵列(FPGA)来实现。
关键词 直接数字频率合成(DDS) 现场可编程门阵列(FPGA) FLEX10K MAX+plus II Quartus II 参数模块(lpm)
下载PDF
基于FPGA的直接数字频率合成器的设计和实现 被引量:3
15
作者 张宪起 《集成电路通讯》 2006年第1期11-15,共5页
介绍了直接数字频率合成(DDS)的工作原理、设计方法及如何用ALTERA公司的FPGA器件(FLEX10K)来完成DDS设计。
关键词 直接数字频率合成(DDS) 现场可编程门阵列(FPGA) FLEXIOK MAX+plusⅡ 参数模块(lpm)
下载PDF
搅拌槽主要零部件PRO/E应用模块开发研究
16
作者 胡磊 《信息与电脑(理论版)》 2014年第8期174-176,共3页
文章选择pro/e的二次开发工具pro/toolkit来进行搅拌槽参数化设计。设计过程中,对pro/toolkit--vc6.0环境进行了配置,利用vc++平台以及pro/toolkit程序设计的函数调用开发与pro/e软件环境相适应的菜单;再利用族表功能建立标准件库,并实... 文章选择pro/e的二次开发工具pro/toolkit来进行搅拌槽参数化设计。设计过程中,对pro/toolkit--vc6.0环境进行了配置,利用vc++平台以及pro/toolkit程序设计的函数调用开发与pro/e软件环境相适应的菜单;再利用族表功能建立标准件库,并实现族表与菜单间的交互;利用pro/toolkit的UI对话框技术设计对话框界面,并用其对搅拌槽的主要零部件进行参数化设计。此设计方法对提高各应用领域标准设备开发效率和质量具有一定的普遍性。 展开更多
关键词 搅拌槽 PRO/E 参数设计 标准件 二次开发工具 应用模块 函数调用 技术设计 设计过程 开发
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部