期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
四位乘法器的VHDL语言设计 被引量:1
1
作者 刘姝延 杨世平 《烟台师范学院学报(自然科学版)》 2002年第2期153-155,共3页
介绍了使用 VHDL语言设计的 4位乘法器 ,给出了功能仿真波形 ,举例说明了实现电子设计自动化 ( EDA)的过程。
关键词 VHDL语言 四位乘法器 功能仿真 电子设计自动化 数字系统 电路设计
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部