期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
一种基于FPGA的高斯随机数生成器的设计与实现 被引量:15
1
作者 谷晓忱 张民选 《计算机学报》 EI CSCD 北大核心 2011年第1期165-173,共9页
基于FPGA的高斯随机数生成器需要满足可重构、高吞吐率和高硬件资源使用效率等要求.文中提出了一种易于硬件实现的状态转换逻辑结构,并给出了均匀分布随机数周期和输出位宽的配置方法和配置原则.文中详细分析了应用"最值分析法&qu... 基于FPGA的高斯随机数生成器需要满足可重构、高吞吐率和高硬件资源使用效率等要求.文中提出了一种易于硬件实现的状态转换逻辑结构,并给出了均匀分布随机数周期和输出位宽的配置方法和配置原则.文中详细分析了应用"最值分析法"和"静态误差分析法"求解Box Muller算法实现过程中各操作数位宽的具体过程.硬件实现结果在Xilinx Vertex 5上的工作速度为491 MHz,吞吐率为9.82×108samples/second,硬件资源使用效率为2.085×106samples/second/slice.文中作者使用DIEHARD测试集、χ2和K-S方法对产生的随机数质量进行了检测,文中给出了结果. 展开更多
关键词 现场可编程门阵列 硬件加速器 高斯随机数产生 均匀分布随机数产生 可重构计算
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部