期刊文献+
共找到2篇文章
< 1 >
每页显示 20 50 100
一款基于MVR-CORDIC的高速64点基-4FFT处理器 被引量:1
1
作者 侯卫华 郭晖 +1 位作者 刘明峰 于宗光 《电子与封装》 2008年第5期22-25,共4页
文中设计了一款64点基-4FFT处理器,用改进的CORDIC(MVR-CORDIC)处理单元代替常规FFT处理器中的复数乘法器,改进的CORDIC处理单元在保证SQNR性能下,仅用极少次数的移位加法运算即可完成一次复数乘法,缩减了完成一次基本蝶形运算的时间并... 文中设计了一款64点基-4FFT处理器,用改进的CORDIC(MVR-CORDIC)处理单元代替常规FFT处理器中的复数乘法器,改进的CORDIC处理单元在保证SQNR性能下,仅用极少次数的移位加法运算即可完成一次复数乘法,缩减了完成一次基本蝶形运算的时间并减小了面积开销。该FFT处理器结构采用两块独立的RAM,并对中间数据作"乒-乓"式存储操作以节省数据存储时间,从而提高完成一次FFT运算的速度。所设计的FFT处理器通过FPGA进行验证,结果表明平均完成一次64点FFT运算仅需要不到1μs。 展开更多
关键词 基-4蝶形单元 FFT MVR-CORDIC
下载PDF
16点基4-FFT芯片设计技术研究 被引量:3
2
作者 丁晓磊 朱恩 赵梅 《信息技术》 2007年第1期64-67,71,共5页
FFT算法是高速实时信号处理的关键算法之一,在很多领域有广泛应用。文中采用了基-4,按时间抽取FFT算法,完成了16点,32bit位长,定点复数FFT的设计。基-4蝶形单元中采用32位Booth算法乘法器,并使用3级流水线设计,并行的处理四路输入数据,... FFT算法是高速实时信号处理的关键算法之一,在很多领域有广泛应用。文中采用了基-4,按时间抽取FFT算法,完成了16点,32bit位长,定点复数FFT的设计。基-4蝶形单元中采用32位Booth算法乘法器,并使用3级流水线设计,并行的处理四路输入数据,极大地提高了FFT的处理速度。本设计划分为多个功能模块,全部采用Verilog HDL语言描述,并且通过仿真验证。 展开更多
关键词 FFT -4蝶形运算单元 流水线 VERILOG HDL
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部