期刊文献+
共找到31篇文章
< 1 2 >
每页显示 20 50 100
针对路径故障与局部拥塞的NoC容错路由算法 被引量:6
1
作者 欧阳一鸣 何鑫城 +3 位作者 梁华国 易茂祥 杜高明 安鑫 《电子学报》 EI CAS CSCD 北大核心 2016年第4期920-925,共6页
片上网络作为一种新型片上互连架构,克服了片上系统在发展中遭遇的瓶颈问题.然而,片上网络中的路由器故障以及路由器之间的链路故障都会造成网络性能损失.对此,文章提出一种针对路径故障与局部拥塞的NoC容错路由算法.首先,设计了一种相... 片上网络作为一种新型片上互连架构,克服了片上系统在发展中遭遇的瓶颈问题.然而,片上网络中的路由器故障以及路由器之间的链路故障都会造成网络性能损失.对此,文章提出一种针对路径故障与局部拥塞的NoC容错路由算法.首先,设计了一种相隔节点间路径故障模型,该模型下的路由器以较小的开销为代价,动态感知两跳以内的路径故障状态.其次,提出了一种新颖的更能准确反映局部网络拥塞状态的拥塞模型来均衡网络流量.最后,当网络无故障时,算法保证走最优路径;有故障时,算法不仅可以实现容错还能保证网络具有良好的性能.实验表明,在无故障的情况下,本文方案相较于对比对象延迟降低了10%-20%,吞吐率提高了25%左右.在有故障的情况下,本文方案较对比对象的优势更加明显. 展开更多
关键词 片上网络 故障模型 拥塞模型 容错路由算法
下载PDF
故障超立方体网络中的高效容错路由算法研究 被引量:4
2
作者 王雷 陈治平 +1 位作者 蒋新华 林亚平 《计算机应用》 CSCD 北大核心 2005年第B12期4-6,8,共4页
对超立方体网络中具有大量节点和链路故障模式进行了研究,提出了两类“子连通性”:即k-维子连通性和任意子连通性的概念;基于两类子连通性概念,分别给出了两个满足该两类子连通性条件的超立方体网络的分布式容错路由算法。证明了已有的... 对超立方体网络中具有大量节点和链路故障模式进行了研究,提出了两类“子连通性”:即k-维子连通性和任意子连通性的概念;基于两类子连通性概念,分别给出了两个满足该两类子连通性条件的超立方体网络的分布式容错路由算法。证明了已有的两类局部连通性概念中的条件“错误节点数小于正确节点数”是不必要的。提出的两个子连通性概念是两类局部连通性概念的最大扩展,可以在更大程度上保证整个超立方体网络的全局连通性,是已有的两类局部连通性概念的一种完全扩展。 展开更多
关键词 超立方体网络 容错路由算法 故障模式 局部连通性 子连通性
下载PDF
一种适于最佳双环网的容错路由算法 被引量:3
3
作者 李晓明 方滨兴 《计算机学报》 EI CSCD 北大核心 1990年第7期549-552,共4页
本文提出了一种新的适用于C.S.Raghavendra等人提出的最佳双环网的容错路由算法,并证明了该算法总能导致信包传递沿着一条最优的路径。
关键词 双环网 容错路由算法 计算机网络
下载PDF
超立方体网络并行容错路由算法 被引量:1
4
作者 王国军 陈松乔 +1 位作者 陈建二 齐芳 《中南工业大学学报》 CSCD 北大核心 2002年第4期424-427,共4页
研究了具有大量错误结点的超立方体网络中的并行容错路由算法 .其步骤是 :首先 ,通过实验分析基于局部k维子立方体连通性容错模型中并行容错路由算法的容错性和效率 ,然后分析k =3且有多达 2 5 .0 %的错误结点时并行容错路由算法的容错... 研究了具有大量错误结点的超立方体网络中的并行容错路由算法 .其步骤是 :首先 ,通过实验分析基于局部k维子立方体连通性容错模型中并行容错路由算法的容错性和效率 ,然后分析k =3且有多达 2 5 .0 %的错误结点时并行容错路由算法的容错性和效率 .研究结果表明 :并行路由算法所能找到的并行路径的数目最多可达到min(D(u) ,D(v) ) ,至少可达到min(Dk(u) ,Dk(v) ) ;如果只考虑k比较小 (如 3 ,4,5等 )而n比较大 (如 1 0 ,1 5 ,2 0等 )的情况 ,则min(D(u) ,D(v) )与min(Dk(u) ,Dk(v) )非常接近 ,说明并行路由算法所能找到的尽可能多的并行路径的能力是接近最优的 ;并行容错路由算法容错性强 。 展开更多
关键词 互联网络 超立方体网络 并行容错路由算法 局部连通性 容错
下载PDF
基于路径多样性的3D NoC流量均衡容错路由算法 被引量:1
5
作者 李娇 晁月斌 +3 位作者 冉峰 蔡升 郭润龙 季渊 《现代电子技术》 2021年第7期147-152,共6页
针对三维片上网络中路由算法路径单一、容错机制不完善导致的网络性能受限的问题,提出一种具有路径多样性的容错路由算法。该算法首先分析路由路径,制定出最短路径下的路由策略;然后结合路径多样性,设计路由选择模型;最后对链路故障进... 针对三维片上网络中路由算法路径单一、容错机制不完善导致的网络性能受限的问题,提出一种具有路径多样性的容错路由算法。该算法首先分析路由路径,制定出最短路径下的路由策略;然后结合路径多样性,设计路由选择模型;最后对链路故障进行分类,设计更加均衡的容错绕行规则。实验结果表明:该算法相较于对比算法,在无链路故障时,吞吐量提升了2%,传输延时降低了17.1%;在5%链路故障时,吞吐量提升了20.9%,传输延时降低了5.8%。随着链路故障率的增加,该算法仍具有优势。 展开更多
关键词 三维片上网络 径多样性 容错路由算法 流量均衡 平均延时 吞吐量 实验分析
下载PDF
超立方体网络广播容错路由算法 被引量:1
6
作者 王国军 陈建二 陈松乔 《中南工业大学学报》 CSCD 北大核心 2002年第3期305-308,共4页
研究了具有大量错误结点的超立方体网络中的广播容错路由算法 .假定Hn 是一个局部 3维子立方体连通的n维超立方体网络 ,并且每一个基本的 3维子立方体中分别最多有 1个和 2个错误结点 ,从理论上证明了在最坏情况下基于shouting广播通信... 研究了具有大量错误结点的超立方体网络中的广播容错路由算法 .假定Hn 是一个局部 3维子立方体连通的n维超立方体网络 ,并且每一个基本的 3维子立方体中分别最多有 1个和 2个错误结点 ,从理论上证明了在最坏情况下基于shouting广播通信模式的广播容错路由算法分别经过最多 1.5 (n - 1)和 2 (n - 1)时间步 ,就可以将源结点的信息广播到Hn 中的所有正确结点中 ;通过实验验证了在均匀和独立的错误结点分布情况下广播时间步的上界实际上只有n +1。 展开更多
关键词 互联网络 超立方体网络 广播容错路由算法 局部连通性
下载PDF
基于NoC的容错路由算法 被引量:2
7
作者 屈凌翔 刘海鹏 +1 位作者 潘能智 赵宝功 《电子与封装》 2015年第9期21-23,43,共4页
各种各样的软件和硬件上的错误都会破坏网络的数据传输,因此研究No C网络的容错算法是非常必要的。在基于XY路由算法的基础上提出了改进的容错路由算法,当链路或者传输节点之间发生错误时,可通过重新设置路由规则来获取一条有效的路由... 各种各样的软件和硬件上的错误都会破坏网络的数据传输,因此研究No C网络的容错算法是非常必要的。在基于XY路由算法的基础上提出了改进的容错路由算法,当链路或者传输节点之间发生错误时,可通过重新设置路由规则来获取一条有效的路由路径。在FPGA上进行路由容错算法的仿真,并和目前常用的几种路由算法在所适用拓扑、是否防止死锁等方面进行对比。仿真结果显示改进的路由容错算法性能优越,是可行的。 展开更多
关键词 容错路由算法 片上网络 拓扑结构 避免死锁
下载PDF
超立方体网络中基于LIP的广播容错路由算法 被引量:1
8
作者 张玫 《山东师范大学学报(自然科学版)》 CAS 2008年第3期28-30,共3页
论文给出超立方体网络中的LIP容错模型,基于该模型提出三个重要的性质定理,并给出超立方体网络中基于该模型的广播容错路由算法.该算法能容许大量的错误节点,且算法所需时间步仅与LIP节点数有关而与故障节点数无关,因此具有较大的优越性.
关键词 超立方体网络 最长导出 广播容错路由算法
下载PDF
基于物联网容错路由算法的船舶通信链路应用 被引量:1
9
作者 董永健 《舰船科学技术》 北大核心 2017年第18期130-132,共3页
通信与导航系统是船舶的重要组成部分,包括卫星通信站、GPS系统、AIS船舶自动识别系统、电罗经等部件。目前,微型计算机技术迅速发展,船舶通信与导航系统逐渐向着基于计算机技术的自动化综合导航、通信系统发展。物联网技术是一种以互... 通信与导航系统是船舶的重要组成部分,包括卫星通信站、GPS系统、AIS船舶自动识别系统、电罗经等部件。目前,微型计算机技术迅速发展,船舶通信与导航系统逐渐向着基于计算机技术的自动化综合导航、通信系统发展。物联网技术是一种以互联网为基础的面向实物的智能网络,被誉为新一代信息技术的革命,引起各行各业的广泛关注。本文结合物联网的容错路由算法,对船舶通信系统的链路容错能力和网络节点等关键技术进行系统研究。 展开更多
关键词 通信与导航系统 物联网 容错路由算法 通信链
下载PDF
Torus网络中容错路由算法的设计与概率分析
10
作者 李银 梁家荣 +1 位作者 徐霜 肖杰 《计算机工程与应用》 CSCD 北大核心 2009年第14期103-106,共4页
基于k-Torus子网的概念提出了一个简单的Torus网络容错路由算法。假设结点出错相互独立,计算出路由算法成功路由的概率。对于几十万个结点以上的Torus网络,提出的路由算法构造通路的概率可达99%,且所提出的路由算法具有线性的特点。
关键词 TORUS网络 容错路由算法 连通性 概率分析
下载PDF
死锁恢复的多维交换结构容错路由算法
11
作者 许都 宋雷 王宏 《电子科技大学学报》 EI CAS CSCD 北大核心 2008年第6期844-847,854,共5页
在高性能路由器中采用多维交换结构是解决可扩展性的一种方法。在实现这种交换结构时,随着节点数目的增多,交换结构出现故障的概率也随之增加。该文在mesh/torus结构上提出了一种基于死锁恢复策略的容错路由算法MMAR。基于各非故障节点... 在高性能路由器中采用多维交换结构是解决可扩展性的一种方法。在实现这种交换结构时,随着节点数目的增多,交换结构出现故障的概率也随之增加。该文在mesh/torus结构上提出了一种基于死锁恢复策略的容错路由算法MMAR。基于各非故障节点周围链路的状态,MMAR能容错任意形状的故障模型且所需虚拟通道数少。通过在凹形区域表面节点中设置该凹形区域内节点位置信息表,该算法能避免消息进入与其目的节点无关的凹形区域以使绕道路径最短。该文给出了在256个节点的二维torus中的仿真结果,验证了算法的有效性。 展开更多
关键词 死锁恢复 故障模型 容错路由算法 多维交换结构
下载PDF
微功率无线抄表系统中数据采集容错路由算法 被引量:5
12
作者 肖勇 冯超 +3 位作者 郭嘉 张乐平 何施茗 胡珊珊 《电力科学与技术学报》 CAS 北大核心 2017年第3期9-14,共6页
低压用户的微功率无线抄表系统中各节点固定,节点故障时,现有的容错路由算法无法建立正确且最优的数据传输路径。以n×n的网格网络为研究对象,基于最短路径优先算法和深度优先搜索,提出一种数据采集容错路由算法,通过构造网络的数... 低压用户的微功率无线抄表系统中各节点固定,节点故障时,现有的容错路由算法无法建立正确且最优的数据传输路径。以n×n的网格网络为研究对象,基于最短路径优先算法和深度优先搜索,提出一种数据采集容错路由算法,通过构造网络的数据传输通路拓扑图,避开错误节点并生成任意子节点到网关的数据传输路径。算法性能分析表明,该算法的时间复杂度低,实际工程中节点故障概率为0.01%时,能够满足实际工程需求。 展开更多
关键词 微功率无线抄表系统 MESH网络 容错路由算法 深度优先搜索
下载PDF
无虚拟通道的3D NoC ZoneDefense容错路由算法 被引量:1
13
作者 王宇飞 李光顺 吴俊华 《计算机应用研究》 CSCD 北大核心 2016年第1期205-209,共5页
针对3D Mesh NoC中的节点故障,提出了一种无虚拟通道容错路由算法,称为3D ZoneDefense容错路由算法(3D-ZDFT)。该算法建立在3D防御区域基础之上。3D防御区域能够提供故障体的位置信息。根据防御区域提供的故障体位置信息,3D-ZDFT可提前... 针对3D Mesh NoC中的节点故障,提出了一种无虚拟通道容错路由算法,称为3D ZoneDefense容错路由算法(3D-ZDFT)。该算法建立在3D防御区域基础之上。3D防御区域能够提供故障体的位置信息。根据防御区域提供的故障体位置信息,3D-ZDFT可提前发现故障位置并改变转发端口,在实现容错的同时避免引入死锁。实验结果表明,与Ham FA相比,3D-ZDFT具有较低的网络延迟和更高的可靠性。面积开销分析显示,3DZDFT比Ham FA的面积开销高约3.1%。提出的算法在保证网络性能的前提下,有效地实现了容错功能。 展开更多
关键词 容错路由算法 3D Mesh片上网络 凸故障体 3D防御区域 3D奇偶转弯模型
下载PDF
一类自选网络的容错直径与容错路由算法(英文) 被引量:2
14
作者 程德风 达力 《计算机技术与发展》 2009年第4期61-64,共4页
作为加利图的一种,自选图AGn相对于其它网络结构,在并行计算及分布式计算领域有着更好的特性,因而受到广泛的重视。ANn是由翼有虎提出的基于AGn的一类新的网络结构。这个新的网络结构在直径、容错度、容错直径和汉密尔顿连通性上都优于... 作为加利图的一种,自选图AGn相对于其它网络结构,在并行计算及分布式计算领域有着更好的特性,因而受到广泛的重视。ANn是由翼有虎提出的基于AGn的一类新的网络结构。这个新的网络结构在直径、容错度、容错直径和汉密尔顿连通性上都优于网络AGn。虽然该网络结构已经有了较好的非容错路由算法,但是依然没有一种针对这个结构的容错路由算法以完善其实际应用。文中通过研究ANn的性质,得出了容错直径,然后基于该容错直径,设计并实现了ANn容错路由算法,最后验证了该算法的正确性。 展开更多
关键词 自选图 加利图 容错直径 容错路由算法
下载PDF
VTFTR:高维胖树中的无死锁容错路由算法 被引量:1
15
作者 刘博阳 胡舒凯 +1 位作者 施得君 卢宏生 《计算机工程》 CAS CSCD 北大核心 2022年第12期38-44,53,共8页
随着近年来高性能计算系统规模的急剧扩大,高性能互连网络的可靠性成为愈发重要的问题。高维胖树是一种结合了胖树与多维环网优点的网络拓扑结构,凭借其良好的可扩展性与网络性能在E级时代具有广阔的应用前景。然而,目前关于高维胖树中... 随着近年来高性能计算系统规模的急剧扩大,高性能互连网络的可靠性成为愈发重要的问题。高维胖树是一种结合了胖树与多维环网优点的网络拓扑结构,凭借其良好的可扩展性与网络性能在E级时代具有广阔的应用前景。然而,目前关于高维胖树中容错路由算法的相关研究较为有限,其可靠性问题亟待解决。为提高高维胖树拓扑在高性能互连网络中的容错能力,进一步提高对应超算系统的运行效率,提出一种用于高维胖树中叶交换机故障的容错路由算法VTFTR。该算法结合转向模型与虚通道切换的思想,通过严格控制报文在无故障路径与容错路径中的转向,使用少量的容错虚通道与额外跳步实现高维胖树中的无死锁容错。实验结果表明,在单点故障情况下,VTFTR算法的容错路径较对比算法有2~4个跳步的减少,在4096个节点规模的网络中,当叶交换机故障数量为10时,在故障叶交换机不同的分布情况下,该算法能够以1.4%~2.0%的吞吐率下降作为代价来保持全网无故障节点之间的互连。 展开更多
关键词 高性能互连网络 高维胖树 容错路由算法 高性能计算 死锁预防
下载PDF
带宽有效聚类结合RVBT的传感器网络容错路由算法 被引量:1
16
作者 卫娟 王崇科 徐平平 《现代电子技术》 北大核心 2016年第12期26-31,共6页
针对现存骨干结构算法在转换重要节点时不能形成完整骨干结构的问题,提出一种带宽有效聚类结合随机虚拟骨干树(BC-RVBT)的WSN故障容错路由算法。带宽聚类提供更好的骨干树构建条件,在构建骨干的过程中选取树节点和非树节点,每个节点至... 针对现存骨干结构算法在转换重要节点时不能形成完整骨干结构的问题,提出一种带宽有效聚类结合随机虚拟骨干树(BC-RVBT)的WSN故障容错路由算法。带宽聚类提供更好的骨干树构建条件,在构建骨干的过程中选取树节点和非树节点,每个节点至少与一个树节点密切相关,根据树节点的适合度值将非树节点随机地重新分布到所有合格的树节点中,提高虚拟骨干树的性能。在NS2仿真器上的实验结果显示,相比其他几种容错算法,该文算法在传输数据时总能耗最低;平均路由路径长度和家属节点方差均为最低,分别为3.14和37.36。存在故障节点时,恢复延迟也表现优秀,有效提高了WSN的使用寿命。 展开更多
关键词 无线传感器网络 虚拟骨干树 带宽聚类 故障容错路由算法
下载PDF
基于拥塞感知的三维片上网络容错路由算法
17
作者 胡春雷 陈锋 方杰 《九江学院学报(自然科学版)》 CAS 2021年第1期83-86,共4页
随着芯片的特征尺寸进入纳米时代,基于传统总线的通信架构出现了性能瓶颈,三维片上网络(3D NoC)架构以其良好的可扩展性,被认为是未来芯片制造最有前途的通信架构之一。3D NoC的容错成为学术界的研究热点,文章提出了一种拥塞感知容错路... 随着芯片的特征尺寸进入纳米时代,基于传统总线的通信架构出现了性能瓶颈,三维片上网络(3D NoC)架构以其良好的可扩展性,被认为是未来芯片制造最有前途的通信架构之一。3D NoC的容错成为学术界的研究热点,文章提出了一种拥塞感知容错路由算法,可以根据故障程度和拥塞程度来为数据包选择合适路径,同时考虑了温度对通信架构可靠性方面的影响。使用Booksim2.0工具与其他方法的实验结果表明,文中方法的平均数据包时延最大降幅达20%,丢包率平均减少了32%,提高了3D NoC可靠性的同时提升了整体通信性能。 展开更多
关键词 片上网络 三维片上网络 容错路由算法 拥塞感知
下载PDF
一种晶上互连网络中负载均衡容错路由算法
18
作者 王明楠 刘勤让 刘冬培 《信息工程大学学报》 2022年第6期697-704,共8页
晶上系统融合预制件组装和晶圆级异构集成等先进理念,借助晶圆级互连的高带宽、低延迟、低功耗等显著优势,使信息系统的设计指标获得连乘性增益。但由于晶圆基板中本身制造良率和拼接过程中的不确定性,系统中的预制件互连可能存在节点... 晶上系统融合预制件组装和晶圆级异构集成等先进理念,借助晶圆级互连的高带宽、低延迟、低功耗等显著优势,使信息系统的设计指标获得连乘性增益。但由于晶圆基板中本身制造良率和拼接过程中的不确定性,系统中的预制件互连可能存在节点故障或链路故障,同时会产生网络负载不均衡等问题。因此,提出一种自适应的负载均衡容错路由算法,算法依据中介中心性对2D-Mesh拓扑进行核心区域的划分,并利用限定条件限制数据包进入核心区,同时基于容错感知结构实现故障避免。实验仿真表明,在复杂故障及热点流量模型场景下,相较于现有的片上网络容错路由算法,所提算法在饱和注入率上平均提高了11.55个百分点,饱和吞吐率平均提高了22.7个百分点。 展开更多
关键词 晶上系统 晶上互连网络 容错路由算法 负载均衡
下载PDF
一种基于双RNI 3D NoC通信架构的容错路由算法设计
19
作者 胡春雷 方杰 毕佳佳 《滁州学院学报》 2021年第2期21-25,共5页
三维片上网络(3D NoC)被认为是未来多核芯片制造中最有前途的互联通信架构,针对该通信架构的容错成为了学术界的研究热点。针对3D Mesh NoC通信架构中存在的资源网络接口和路由器的单点故障问题,提出了基于双RNI的3D NoC通信架构设计,... 三维片上网络(3D NoC)被认为是未来多核芯片制造中最有前途的互联通信架构,针对该通信架构的容错成为了学术界的研究热点。针对3D Mesh NoC通信架构中存在的资源网络接口和路由器的单点故障问题,提出了基于双RNI的3D NoC通信架构设计,并针对所提出的通信架构,设计了一种动态自适应容错路由算法。实验结果表明,基于双RNI 3D NoC通信架构及所设计容错路由算法在提升网络通信性能的同时也具有较好的容错能力。 展开更多
关键词 双端口RNI 片上网络 三维片上网络 容错路由算法
下载PDF
一种基于单播的大规模Mesh网络容错多播路由新算法
20
作者 王高才 陈建二 陈松乔 《计算机工程与科学》 CSCD 2004年第3期61-64,共4页
本文基于k Mesh子网连通的概念提出一种基于局部信息和分布式的Mesh网络容错多播路由算法。该算法的基本思想是把大规模的Mesh网络分成若干个较小的子网 ,多播消息只在各子网间进行路由 ,并由各子网独立完成操作。该算法的时间复杂性是... 本文基于k Mesh子网连通的概念提出一种基于局部信息和分布式的Mesh网络容错多播路由算法。该算法的基本思想是把大规模的Mesh网络分成若干个较小的子网 ,多播消息只在各子网间进行路由 ,并由各子网独立完成操作。该算法的时间复杂性是线性的 ,模拟结果表明多播路由算法的多播时间步接近网络的直径。 展开更多
关键词 计算机网络 MESH网络 容错路由算法 多播由算法 错误块模型
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部