期刊文献+
共找到16篇文章
< 1 >
每页显示 20 50 100
一种基于改进基4 Booth算法和Wallace树结构的乘法器设计 被引量:4
1
作者 吴美琪 赵宏亮 +2 位作者 刘兴辉 康大为 李威 《电子设计工程》 2019年第16期145-150,共6页
以实现25×18位带符号快速数字乘法器为目标,采用改进的基4Booth算法以3位编码产生部分积,优化最低位产生电路,使用统一的操作扩展各部分积符号位,相比于传统方法提高了阵列规则性、节省了芯片面积;用传输门构成基本压缩器,并在此... 以实现25×18位带符号快速数字乘法器为目标,采用改进的基4Booth算法以3位编码产生部分积,优化最低位产生电路,使用统一的操作扩展各部分积符号位,相比于传统方法提高了阵列规则性、节省了芯片面积;用传输门构成基本压缩器,并在此基础上优化实现高阶压缩器,进而组成一个Wallace树结构,同时将9组部分积压缩为2组,使电路仅需3级压缩、关键路径延迟时间为8个异或门延迟,有效地提高了压缩效率和降低了关键路径延迟时间。采用GF28nmCMOS工艺,以全定制流程设计,版图面积为0.0112mm^2,仿真环境标准电压1.0V、温度25℃、最高工作时钟频率1.0GHz,系统的功耗频率比为3.52mW/GHz,关键路径延时为636ps,组合逻辑路径旁路寄存器的绝对延时为1.67ns。 展开更多
关键词 乘法器 改进的基4booth算法 部分积阵列 WALLACE树 压缩器
下载PDF
67×67位乘法器的改进四阶Booth算法实现 被引量:2
2
作者 康潇亮 雷绍充 梁峰 《电子器件》 CAS 2007年第4期1427-1431,共5页
针对67×67位乘法器,提出并实现新型的设计方法.先提出改进的四阶Booth算法,对乘数编码,以减少部分积的数目,提高压缩速度和减少面积,再研究优化和分配方法,对部分积和进位信号以及一个134位的补偿向量进行优化分配,并对部分积压缩... 针对67×67位乘法器,提出并实现新型的设计方法.先提出改进的四阶Booth算法,对乘数编码,以减少部分积的数目,提高压缩速度和减少面积,再研究优化和分配方法,对部分积和进位信号以及一个134位的补偿向量进行优化分配,并对部分积压缩,最后研究K-S加法器的改进方法,求和以实现134位乘积.采用TSMC的0.18μm工艺库,Synopsys的Design compiler工具和Altera的Quautus4.2工具分析结果表明,基于本文方法实现的电路比DesignWare自带的乘法器实现的电路相比,性能总体占优. 展开更多
关键词 改进booth4算法 Wallace树压缩 改进的K-S加法器
下载PDF
一个新的基于radix-8的标量乘算法
3
作者 程一飞 陈文莉 《计算机技术与发展》 2007年第10期155-157,161,共4页
椭圆曲线标量乘是椭圆曲线密码系统中最关键、最耗时的运算,因此如何快速高效实现标量乘运算是研究的重点。目前常见的标量乘算法有:double-and-add算法,NAF算法,MOF算法等,但它们都是基于radix-2编码表示的,无论采用何种编码,倍点运算... 椭圆曲线标量乘是椭圆曲线密码系统中最关键、最耗时的运算,因此如何快速高效实现标量乘运算是研究的重点。目前常见的标量乘算法有:double-and-add算法,NAF算法,MOF算法等,但它们都是基于radix-2编码表示的,无论采用何种编码,倍点运算的次数都不变,减少的只是点加(或点减)运算的次数。提出一个基于radix-8表示的新的编码方法,及一个基于radix-8表示的标量乘算法,通过用八倍点运算代替倍点运算,且编码是从左到右(即从最高位向最低位)进行,编码和主计算可以合并,提高实现效率并节省内存空间。实验结果表明,该算法较经典的double-and-add算法能够提高效率30%以上。 展开更多
关键词 椭圆曲线密码系统 标量乘 radix-8表示 改进booth算法 编码
下载PDF
一个新的基于radix-4从左到右编码的标量乘算法
4
作者 程一飞 侯整风 《微型电脑应用》 2007年第5期44-45,55,共3页
椭圆曲线标量乘是椭圆曲线密码系统中最关键、最耗时的运算,因此如何快速高效实现标量乘运算是研究的重点。目前常见的标量乘算法有:double-and-add算法,NAF算法,MOF算法等,但它们都是基于radix-2编码表示的,无论采用何种编码,倍点运算... 椭圆曲线标量乘是椭圆曲线密码系统中最关键、最耗时的运算,因此如何快速高效实现标量乘运算是研究的重点。目前常见的标量乘算法有:double-and-add算法,NAF算法,MOF算法等,但它们都是基于radix-2编码表示的,无论采用何种编码,倍点运算的次数都不变,减少的只是点加(或点减)运算的次数。提出一个基于radix-4表示的新的编码方法,并提出一个基于radix-4表示的标量乘算法,通过用四倍点运算代替倍点运算,且编码是从左到右(即从最高位向最低位)进行,编码和主计算可以合并,提高实现效率并节省内存空间。实验结果表明,该算法较经典的double-and-add算法能够提高效率30%以上。 展开更多
关键词 椭圆曲线密码系统 标量乘 radix-4表示 改进booth算法 编码
下载PDF
32位浮点阵列乘法器的设计及算法比较 被引量:10
5
作者 傅志晖 程东方 +3 位作者 梅其元 李娇 薛忠杰 吴鼎祥 《微电子学》 CAS CSCD 北大核心 2003年第3期190-195,共6页
 讨论了乘法器用于补码运算的几种算法。通过比较,发现改进型Booth算法是较为理想的算法。该算法在不考虑乘数和被乘数符号的情况下,都可以用统一的步骤来完成乘法运算,而且无需对乘积作任何修正,这极大地提高了乘法器的运算速度。结...  讨论了乘法器用于补码运算的几种算法。通过比较,发现改进型Booth算法是较为理想的算法。该算法在不考虑乘数和被乘数符号的情况下,都可以用统一的步骤来完成乘法运算,而且无需对乘积作任何修正,这极大地提高了乘法器的运算速度。结合改进型Booth算法,设计了一个高性能32位浮点阵列乘法器,它能在单个时钟周期内完成一次24位整数乘或32位浮点乘。该乘法器适于VLSI实现,已被应用于DSP芯片设计之中。 展开更多
关键词 32位浮点阵列乘法器 改进booth算法 浮点运算 乘法阵列 运算速度 DSP芯片
下载PDF
一种高性能、低功耗乘法器的设计 被引量:8
6
作者 郑伟 姚庆栋 +2 位作者 张明 刘鹏 李东晓 《浙江大学学报(工学版)》 EI CAS CSCD 北大核心 2004年第5期534-538,共5页
基于标准单元方法设计并实现支持单指令流多数据流(SIMD)计算的16bit×8bit乘法器.分析乘法运算时延的分布,采用Wallace树形结构实现Booth乘法器,最终进位传递计算采用从左到右免除进位(LRCF)算法,使最高位(MSB)部分的进位传递计算... 基于标准单元方法设计并实现支持单指令流多数据流(SIMD)计算的16bit×8bit乘法器.分析乘法运算时延的分布,采用Wallace树形结构实现Booth乘法器,最终进位传递计算采用从左到右免除进位(LRCF)算法,使最高位(MSB)部分的进位传递计算与部分积相加运算的并行重叠进行,以提高乘法运算的并行度,降低硬件复杂度和功耗.在0.18μm工艺标准单元库的支持下,使用电子设计辅助(EDA)工具,版图实现了该乘法器.利用版图得到的线负载模型信息对门级网表进行分析,在工作电压为1.62V,125℃时,该乘法器速度为2.80ns,功耗为0.089mW/MHz. 展开更多
关键词 乘法器 数字信号处理器芯片 改进booth算法 WALLACE树 从左到右免除进位(LRCF)算法
下载PDF
基于Verilog HDL设计实现的乘法器性能研究
7
作者 赵娟 李振坤 +2 位作者 刘怡俊 张希花 刘玉转 《微计算机信息》 北大核心 2008年第8期78-80,共3页
本文在设计实现乘法器时,采用了4-2和5-2混合压缩器对部分积进行压缩,减少了乘法器的延时和资源占用率;经Xilinx ISE和QuartusⅡ两种集成开发环境下的综合仿真测试,与用Verilog HDL语言实现的两位阵列乘法器和传统的Booth编码乘法器进... 本文在设计实现乘法器时,采用了4-2和5-2混合压缩器对部分积进行压缩,减少了乘法器的延时和资源占用率;经Xilinx ISE和QuartusⅡ两种集成开发环境下的综合仿真测试,与用Verilog HDL语言实现的两位阵列乘法器和传统的Booth编码乘法器进行了性能比较,得出用这种混合压缩的器乘法器要比传统的4-2压缩器构成的乘法器速度提高了10%,硬件资源占用减少了1%。 展开更多
关键词 VERILOG HDL 改进booth算法 乘法器
下载PDF
基于Verilog HDL语言的硬件乘法器设计
8
作者 刘隽 唐雄民 彭永进 《电子元器件应用》 2004年第12期32-34,共3页
探讨主要的硬件数字乘法器设计算法。使用Verilog HDL硬件语言设计出一种高效实用的硬件乘法器。
关键词 硬件乘法器 改进booth算法 WALLACE Tree算法 VERILOG HDL
下载PDF
16×16快速乘法器的设计与实现 被引量:8
9
作者 李楠 喻明艳 《微电子学与计算机》 CSCD 北大核心 2008年第4期156-159,共4页
为得到高性能的乘法器,本设计通过改进的Booth算法产生部分积,用一种Wallace树结构压缩部分积,并使用减少符号位填充和减少尾部0填充两种方法有效地减小了部分积压缩器的面积,最终通过超前进位加法器组得到乘积结果.采用SMIC0.18μm工艺... 为得到高性能的乘法器,本设计通过改进的Booth算法产生部分积,用一种Wallace树结构压缩部分积,并使用减少符号位填充和减少尾部0填充两种方法有效地减小了部分积压缩器的面积,最终通过超前进位加法器组得到乘积结果.采用SMIC0.18μm工艺库,由DC(DesignCompiler)综合,时间延迟可达到4.62ns,面积为23837μm2. 展开更多
关键词 乘法器 改进booth算法 WALLACE树 面积优化 CLA组
下载PDF
一种可嵌入MCU的8位高速乘法器的设计 被引量:2
10
作者 朱建卫 居水荣 《微电子学》 CAS CSCD 北大核心 2010年第6期832-835,共4页
介绍了一种可嵌入微控制器的8位乘法器的设计。采用基4 Booth算法产生部分积,用一种改进的压缩阵列结构压缩部分积;同时,采用一种减少符号扩展的技术,优化压缩结构的面积,最终对压缩的数据采用超前进位加法器求和电路得到乘积。整个设... 介绍了一种可嵌入微控制器的8位乘法器的设计。采用基4 Booth算法产生部分积,用一种改进的压缩阵列结构压缩部分积;同时,采用一种减少符号扩展的技术,优化压缩结构的面积,最终对压缩的数据采用超前进位加法器求和电路得到乘积。整个设计采用Verilog HDL进行结构级描述,基于SMIC 0.18μm标准单元库,由Synopsys的DC进行逻辑综合。结果显示,设计的乘法器电路时间延迟为5.31 ns,系统时钟频率达188 MHz。 展开更多
关键词 乘法器 改进booth算法 压缩器
下载PDF
一种FFT蝶形处理器中的乘法器实现 被引量:3
11
作者 李彦正 《现代电子技术》 2007年第22期135-137,共3页
讨论了一种FFT结构中乘法器实现。该结构采用基于流水线结构和快速并行乘法器的蝶形处理器。乘法器采用改进的Booth算法,简化了部分积符号扩展,使用改进的Wallace树型和4-2压缩器对部分积归约。以8点复点FFT为实例设计相应的控制电路。... 讨论了一种FFT结构中乘法器实现。该结构采用基于流水线结构和快速并行乘法器的蝶形处理器。乘法器采用改进的Booth算法,简化了部分积符号扩展,使用改进的Wallace树型和4-2压缩器对部分积归约。以8点复点FFT为实例设计相应的控制电路。使用VHDL语言完成设计,并综合到FPGA中。 展开更多
关键词 快速傅里叶变换 乘法器 改进booth算法 改进的Wallace TREE
下载PDF
一种64位浮点乘加器的设计与实现 被引量:3
12
作者 靳战鹏 白永强 沈绪榜 《计算机工程与应用》 CSCD 北大核心 2006年第18期95-98,共4页
乘加操作是许多科学与工程应用中的基本操作,特别是在图形加速器和DSP等应用领域,浮点乘加器有着广泛的应用。论文针对PowerPC603e微处理器系统,基于SMIC0.25μm1P5MCMOS工艺,采用正向全定制的电路及版图设计方法,设计实现了一个综合使... 乘加操作是许多科学与工程应用中的基本操作,特别是在图形加速器和DSP等应用领域,浮点乘加器有着广泛的应用。论文针对PowerPC603e微处理器系统,基于SMIC0.25μm1P5MCMOS工艺,采用正向全定制的电路及版图设计方法,设计实现了一个综合使用改进Booth算法、平衡的4-2压缩器构成的Wallace树形结构、先行进位加法器的支持IEEE-754标准的64bit浮点乘加器。 展开更多
关键词 改进booth2算法 浮点乘加器 WALLACE树 全定制
下载PDF
基于FPGA的单精度浮点数乘法器设计 被引量:3
13
作者 旷捷 毛雪莹 +2 位作者 彭俊淇 黄启俊 常胜 《电子技术应用》 北大核心 2010年第5期17-19,共3页
设计了一个基于FPGA的单精度浮点数乘法器。设计中采用改进的带偏移量的冗余Booth3算法和跳跃式Wallace树型结构,并提出对Wallace树产生的2个伪和采用部分相加的方式,提高了乘法器的运算速度;加入对特殊值的处理模块,完善了乘法器的功... 设计了一个基于FPGA的单精度浮点数乘法器。设计中采用改进的带偏移量的冗余Booth3算法和跳跃式Wallace树型结构,并提出对Wallace树产生的2个伪和采用部分相加的方式,提高了乘法器的运算速度;加入对特殊值的处理模块,完善了乘法器的功能。本设计在AlteraDE2开发板上进行了验证。 展开更多
关键词 改进的带偏移量的冗余booth3算法 跳跃式Wallace树 单精度浮点数乘法器 FPGA
下载PDF
一种新型乘法累加器IP设计
14
作者 陈钦树 文爱军 雷海军 《湖南工程学院学报(自然科学版)》 2004年第3期51-54,共4页
以一个8位高速并行乘法累加器的IP设计为例子,介绍了一种设计高速乘法累加器的方法.通过在Wallance树模块中改变部分积压缩方式,使该乘法累加器占用的FPGA资源减少了19.8%,而运算速度提高了9.5%.整个设计用VerilogHDL描述,并在Xilinx公... 以一个8位高速并行乘法累加器的IP设计为例子,介绍了一种设计高速乘法累加器的方法.通过在Wallance树模块中改变部分积压缩方式,使该乘法累加器占用的FPGA资源减少了19.8%,而运算速度提高了9.5%.整个设计用VerilogHDL描述,并在Xilinx公司xc2vp20器件上实现. 展开更多
关键词 高速乘法累加器 改进booth算法 WALLACE树
下载PDF
基于标准单元库扩展的快速乘法器设计 被引量:5
15
作者 曾宪恺 郑丹丹 +2 位作者 严晓浪 吕冬明 葛海通 《计算机应用研究》 CSCD 北大核心 2012年第5期1778-1780,1814,共4页
设计并实现17×17 bit带符号数字乘法器。为了提高乘法器的性能,采用改进的Booth编码算法、Wal-lace树型结构以及基于标准单元库扩展的设计方法。该方法使用逻辑功效模型分析乘法器的关键路径,通过构造驱动能力更为完备的单元以实... 设计并实现17×17 bit带符号数字乘法器。为了提高乘法器的性能,采用改进的Booth编码算法、Wal-lace树型结构以及基于标准单元库扩展的设计方法。该方法使用逻辑功效模型分析乘法器的关键路径,通过构造驱动能力更为完备的单元以实现关键路径中每一级门功效相等,从而得到最短路径延时。将TSMC 90 nm标准单元库扩展得到扩展单元库,使用两个单元库版图分别实现数字乘法器,基于扩展单元库实现的乘法器速度提升10.87%。实验结果表明,基于标准单元库扩展的半定制设计方法可以有效提升电路的性能,这种方法尤其适用于电路负载过大的情况。 展开更多
关键词 乘法器 标准单元库扩展 改进booth编码算法 WALLACE树 逻辑功效
下载PDF
高性能乘加单元设计
16
作者 闵敬国 胡越黎 《计算机测量与控制》 CSCD 2005年第7期713-714,736,共3页
对高性能乘加单元的设计原理与方法进行了研究,采用改进的Booth算法设计乘法器,提出了一种新的实现这种算法的内部电路逻辑结构。采用这种结构设计MAC单元,大大提高了MAC单元的速度和性能。
关键词 改进booth算法 华莱士树 超前进位加法器
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部