期刊文献+
共找到4篇文章
< 1 >
每页显示 20 50 100
基于覆盖率驱动的SoC验证技术研究 被引量:5
1
作者 朱车壮 陈岚 冯燕 《微电子学与计算机》 CSCD 北大核心 2011年第11期48-52,共5页
覆盖率数据是验证工程师判定SoC验证完备程度的定性度量,为SoC验证完全性提供了保障,指明了方向.文中以SoC总线仲裁器验证为例,对其结构覆盖率、功能覆盖率、断言覆盖率等多种覆盖率进行了全面的分析,然后根据覆盖率分析结果反馈到RTL... 覆盖率数据是验证工程师判定SoC验证完备程度的定性度量,为SoC验证完全性提供了保障,指明了方向.文中以SoC总线仲裁器验证为例,对其结构覆盖率、功能覆盖率、断言覆盖率等多种覆盖率进行了全面的分析,然后根据覆盖率分析结果反馈到RTL设计代码和测试激励进行修正,直到验证的完整性满足设计的要求. 展开更多
关键词 SoC验证方法 覆盖率驱动 结构覆盖率 功能覆盖率 断言覆盖率
下载PDF
基于面向多种覆盖率驱动的验证方法研究 被引量:1
2
作者 董伟杰 张晓静 《中国测试技术》 CAS 2008年第5期54-56,共3页
验证工作就是将设计者的意图与观察到的被验证的IC的预期行为进行的比较和判断。该文采用基于面积多种覆盖率驱动的验证分析流程,对TD-SCDMA终端芯片的ZSP_UART模块的功能覆盖、结构覆盖、断言覆盖、时序覆盖等多种覆盖率进行详细的分... 验证工作就是将设计者的意图与观察到的被验证的IC的预期行为进行的比较和判断。该文采用基于面积多种覆盖率驱动的验证分析流程,对TD-SCDMA终端芯片的ZSP_UART模块的功能覆盖、结构覆盖、断言覆盖、时序覆盖等多种覆盖率进行详细的分析。然后根据分析得出的数据再次进行验证,直到验证的完整性满足设计者的要求。覆盖率是否达到预期的要求,可以作为判断验证工作质量的依据。 展开更多
关键词 验证方法 覆盖率驱动 功能覆盖率 结构覆盖率 断言覆盖率
下载PDF
基于SV的NAND Flash控制器功能验证
3
作者 吴录辉 颜炳佳 《传感器技术与应用》 2022年第2期91-98,共8页
随着存储工艺的发展,NANDFlash存储架构被提出后,凭借存储量大,读写速度快等优势,迅速成为存储介质的首选。目前,存储系统通过控制器完成与Flash闪存颗粒的交互,但随着存储数据越来越巨大,NAND Flash控制器的稳健性、完备性也越来越受... 随着存储工艺的发展,NANDFlash存储架构被提出后,凭借存储量大,读写速度快等优势,迅速成为存储介质的首选。目前,存储系统通过控制器完成与Flash闪存颗粒的交互,但随着存储数据越来越巨大,NAND Flash控制器的稳健性、完备性也越来越受重视。本文采用System Verilog语言搭建测试环境,并结合SVA断言技术提出新的验证策略,即收集代码覆盖率、功能覆盖率、断言覆盖率三个重要指标,对NAND Flash控制器模块进行全面有效的验证,确保同步时钟单通道模式下,控制器使用双FSM设计依然符合设计要求。 展开更多
关键词 System Verilog SVA 功能覆盖率 断言覆盖率 NAND Flash
下载PDF
基于UVM的1000BASE-T图像传输系统的验证
4
作者 王百慧 《传感器技术与应用》 2022年第2期138-145,共8页
随着网络通信技术的发展,千兆以太网凭借可靠性高且传输速率快等特点,从而受到广泛的关注。图像的采集和传输也随之成为重要的发展方向,本文对1000BASE-T图像传输系统模块的功能进行分析和测试,并采用UVM (Universal Verification Metho... 随着网络通信技术的发展,千兆以太网凭借可靠性高且传输速率快等特点,从而受到广泛的关注。图像的采集和传输也随之成为重要的发展方向,本文对1000BASE-T图像传输系统模块的功能进行分析和测试,并采用UVM (Universal Verification Methodology)通用验证方法学进行验证,搭建一个可重用性的验证平台,可重用性体现在从模块级到系统级的重用、不同测试环境的重用、不同项目之间的重用等。提出对图像传输系统的功能进行回归测试,最终以代码覆盖率、功能覆盖率、断言覆盖率结果达到100%为验收目标,即可确定该验证方法的有效性和完备性。 展开更多
关键词 千兆以太网 UVM验证方法学 可重用性 功能覆盖率 断言覆盖率
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部