期刊文献+
共找到31篇文章
< 1 2 >
每页显示 20 50 100
浮点加法器电路设计算法的研究 被引量:8
1
作者 夏宏 吴克河 李占才 《计算机工程与应用》 CSCD 北大核心 2001年第13期10-12,共3页
介绍了浮点加法器电路设计的基本算法,阐述了近年来有关浮点加法器电路设计算法研究的成果。对目前所普遍采用的Two-Path算法及其改进算法进行了详细地分析。描述了快速规格化的关键技术——前导1的预判的基本原理。最后提出... 介绍了浮点加法器电路设计的基本算法,阐述了近年来有关浮点加法器电路设计算法研究的成果。对目前所普遍采用的Two-Path算法及其改进算法进行了详细地分析。描述了快速规格化的关键技术——前导1的预判的基本原理。最后提出了一种进一步改进Two—Path算法的方案。 展开更多
关键词 浮点加法器 Two-Path算法 前导1 电路设计 计算机
下载PDF
快速浮点加法器的FPGA实现 被引量:7
2
作者 郭天天 张志勇 卢焕章 《计算机工程》 EI CAS CSCD 北大核心 2005年第16期202-204,共3页
讨论了3种常用的浮点加法算法,并在VirtexII系列FPGA上实现了LOP算法。实验结果表明在FPGA上可以实现快速浮点加法器,最高速度可达152MHz,资源占用也在合理的范围内。
关键词 浮点加法器 移位器 前导1预测 FPGA
下载PDF
一种高效结构的多输入浮点加法器在FPGA上的实现 被引量:5
3
作者 杜勇 陈健 +1 位作者 朱亮 韩方景 《计算机工程与科学》 CSCD 2006年第1期110-111,118,共3页
传统的多输入浮点加法运算是通过级联二输入浮点加法器来实现的,这种结构不可避免地使运算时延和所需逻辑资源成倍增加,从而越来越难以满足需要进行高速数字信号处理的需求。本文提出了一种适合在FPGA上实现的浮点数据格式和可以在四级... 传统的多输入浮点加法运算是通过级联二输入浮点加法器来实现的,这种结构不可避免地使运算时延和所需逻辑资源成倍增加,从而越来越难以满足需要进行高速数字信号处理的需求。本文提出了一种适合在FPGA上实现的浮点数据格式和可以在四级流水线内完成的一种高效多输入浮点加法器结构,并给出了在Xilinx公司Virtex系列芯片上的测试数据。 展开更多
关键词 浮点加法器 多输入 FPGA 高效算法
下载PDF
浮点加法器中进位传递问题的合并处理 被引量:2
4
作者 钱刚 沈绪榜 +2 位作者 李莉 赵宁 许琪 《微电子学与计算机》 CSCD 北大核心 2001年第3期33-36,共4页
文章首先介绍了浮点加法器中可能存在的三个进位传递问题,然后论述了这三个进位传递问题合并实现的可行性,最后给出了一种合并设计的方法,并应用于LS RISC微处理器芯片中,缩短了运算路径及芯片的面积,提高了芯片的性能。
关键词 浮点加法器 微处理器 芯片 合并处理 进位传递
下载PDF
浮点加法器的低功耗结构设计 被引量:2
5
作者 高海霞 杨银堂 《微电子学》 CAS CSCD 北大核心 2002年第2期128-130,135,共4页
浮点加法器是集成电路数据通道中重要的单元 ,它的性能和功耗极大地影响着处理器和数字信号处理器的性能。文章分析了浮点加法器的几种结构 ,重点介绍了实现低功耗的三数据通道结构。最后 。
关键词 浮点加法器 低功耗 结构设计 数字信号处理器 数据通道 数字集成电路
下载PDF
一种高速浮点加法器的设计实现 被引量:1
6
作者 唐世庆 尹勇生 刘聪 《微电子学与计算机》 CSCD 北大核心 2003年第8期163-166,共4页
浮点加法器是协处理器的核心运算部件,是实现浮点指令各种运算的基础,其设计优化是提高浮点运算速度和精度的关键途径。文章从浮点加法器算法和电路实现的角度给出设计方法,并且提出动态与静态结合设计进位链的方案以及前导0预测面积与... 浮点加法器是协处理器的核心运算部件,是实现浮点指令各种运算的基础,其设计优化是提高浮点运算速度和精度的关键途径。文章从浮点加法器算法和电路实现的角度给出设计方法,并且提出动态与静态结合设计进位链的方案以及前导0预测面积与速度的折衷方法。动态与静态结合设计进位链的方法有效地降低了功耗,提高了速度,改善了性能。目前已经嵌入协处理器的设计中,并且流片测试成功。 展开更多
关键词 高速浮点加法器 设计 协处理器 运算部件 进位链
下载PDF
多输入浮点加法器算法研究 被引量:1
7
作者 杜勇 韩方剑 +1 位作者 韩方景 张长隆 《计算机工程与科学》 CSCD 2006年第10期87-88,97,共3页
本文介绍了浮点加法器(FPA)的基本运算步骤,归纳阐述了传统的多输入浮点加法器算法,提出了一种改进的并行多输入浮点加法器算法。采用这种改进的算法可以有效地提高运算速度并减少逻辑资源。
关键词 浮点加法器 多输入 高速算法
下载PDF
一种深度流水线的浮点加法器 被引量:1
8
作者 邵杰 伍万棱 余汉城 《电子器件》 CAS 2007年第3期911-914,共4页
随着数字信号处理技术的发展,FPGA正越来越频繁地用于实现基于高速硬件的高性能的科学计算.本文通过增加浮点加法器的流水线级数来提高其单位时间的吞吐量,探讨了充分利用FPGA内部丰富的触发器来提高系统主频的可行性.提出了一种指数和... 随着数字信号处理技术的发展,FPGA正越来越频繁地用于实现基于高速硬件的高性能的科学计算.本文通过增加浮点加法器的流水线级数来提高其单位时间的吞吐量,探讨了充分利用FPGA内部丰富的触发器来提高系统主频的可行性.提出了一种指数和尾数操作、加法和减法操作均分离的多路径浮点加法器结构,对于单精度(32位)的操作数,采用Altera公司的StratixⅡ系列芯片,8级流水线可以达到356MHz以上的速度. 展开更多
关键词 浮点加法器 FPGA 流水线 吞吐量
下载PDF
高速流水线浮点加法器的FPGA实现 被引量:3
9
作者 王晓莉 黄伟 王典洪 《电子元器件应用》 2009年第4期62-65,共4页
浮点加法运算是现代数字信号处理中非常频繁的操作算法。文中结合VerologHDL和FPGA可编程技术来完成流水线结构进而实现符合IEEE754标准的单精度浮点数加法器的设计方法。通过仿真验证,该设计运算精度可达10-7,而且设计结构合理,可用于... 浮点加法运算是现代数字信号处理中非常频繁的操作算法。文中结合VerologHDL和FPGA可编程技术来完成流水线结构进而实现符合IEEE754标准的单精度浮点数加法器的设计方法。通过仿真验证,该设计运算精度可达10-7,而且设计结构合理,可用于中高速信号处理系统之中。 展开更多
关键词 浮点加法器 IEEE 754 单精度 流水线 FPGA
下载PDF
浮点加法器的VHDL算法设计 被引量:2
10
作者 吴琼 肖梓祥 《现代电子技术》 2003年第4期46-48,共3页
以浮点加法器的算法设计和结构映射为例,讨论了如何进行面向对象的ASIC系统的设计,并给出浮点加法器部分模块的VHDL描述。
关键词 浮点加法器 VHDL 算法 结构映射 进位链路 ASIC 专用集成电路
下载PDF
用于专用DSP处理器的高速低功耗的IEEE32位浮点加法器 被引量:1
11
作者 孙旭光 毛志刚 来逢昌 《微处理机》 2003年第1期11-13,共3页
本文我们描述了一个符合 IEEE75 4单精度浮点标准的加法器。这个浮点加法器的设计基于 TSMC2 .5 V0 .2 5 μm CMOS工艺。它将用于 2 0 0 MHz的专用 DSP处理器。为了在高速运算的同时降低功耗 ,本文在采用了并行运算提高速度的同时 ,通... 本文我们描述了一个符合 IEEE75 4单精度浮点标准的加法器。这个浮点加法器的设计基于 TSMC2 .5 V0 .2 5 μm CMOS工艺。它将用于 2 0 0 MHz的专用 DSP处理器。为了在高速运算的同时降低功耗 ,本文在采用了并行运算提高速度的同时 ,通过控制逻辑模块关闭不必要的运算模块的操作来减小整个电路功耗。另外 ,在电路设计中大量使用传输管逻辑 ,提高速度并降低整个电路的面积和功耗。加法器的运算时间是 3 .986 展开更多
关键词 专用DSP处理器 IEEE32位浮点加法器 传输管逻辑 CMOS工艺 功能模块
下载PDF
DSP芯片中浮点加法器LOD电路的设计
12
作者 车德亮 黄士坦 +2 位作者 刘军华 唐威 段来仓 《微电子学与计算机》 CSCD 北大核心 2003年第4期60-62,65,共4页
DSP芯片中浮点加法器的速度制约着整个芯片的工作速度,浮点加法器中LOD电路的速度又是浮点加法器工作速度的瓶颈。因此,我们可以通过对LOD电路的改进,来提高整个DSP芯片的工作性能。我们从LOD的组成结构和逻辑两个方面进行设计,实现了... DSP芯片中浮点加法器的速度制约着整个芯片的工作速度,浮点加法器中LOD电路的速度又是浮点加法器工作速度的瓶颈。因此,我们可以通过对LOD电路的改进,来提高整个DSP芯片的工作性能。我们从LOD的组成结构和逻辑两个方面进行设计,实现了一种快速、高效的LOD电路。它针对处理的数据格式为TMS320C3X扩展精度浮点数据格式。 展开更多
关键词 浮点加法器 LOD电路 设计 DSP芯片 数字信号处理器 运算速度
下载PDF
基于FPGA的高速浮点加法器的实现
13
作者 王秀芳 侯振龙 曲萃萃 《科学技术与工程》 2010年第25期6293-6296,共4页
为降低设计成本、缩短设计周期、提高可移植性,设计并实现了基于CycloneIII型FPGA单精度32位浮点加法器。该加法器采用VHDL语言描述,流水线结构,符合IEEE754单精度浮点表示格式和存储格式。经过QuartusII、MATLAB和Model-SimSE进行联合... 为降低设计成本、缩短设计周期、提高可移植性,设计并实现了基于CycloneIII型FPGA单精度32位浮点加法器。该加法器采用VHDL语言描述,流水线结构,符合IEEE754单精度浮点表示格式和存储格式。经过QuartusII、MATLAB和Model-SimSE进行联合仿真结果表明,系统的运行精度可以达到10-8数量级,同时该设计可参数化、可作为独立的子系统应用于其他数字信号处理领域。 展开更多
关键词 IEEE754 可编程逻辑门阵列 VHDL 浮点加法器
下载PDF
快速浮点加法器
14
作者 王伟 吴裕功 《电子测量技术》 2005年第5期71-72,共2页
文章介绍改进的快速浮点加法器的设计方案。通过增加一个解码器和一些简单的逻辑实现了对IEEE非规格化数的支持。
关键词 非规格化数 LZA 非标准分割 浮点加法器 非规格化 IEEE 逻辑实现 解码器
下载PDF
三数据通道浮点加法器的FPGA实现 被引量:1
15
作者 吉训生 《电子工程师》 2004年第8期43-45,共3页
浮点加减运算是现代数字信号处理中非常频繁的操作 ,浮点运算的快慢直接影响数字信号处理的速度。常用的硬件实现算法有双通道算法和三通道算法。文中介绍了浮点加法器电路设计的常用算法 ,重点介绍了一种低功耗的三数据通道结构 ,最后... 浮点加减运算是现代数字信号处理中非常频繁的操作 ,浮点运算的快慢直接影响数字信号处理的速度。常用的硬件实现算法有双通道算法和三通道算法。文中介绍了浮点加法器电路设计的常用算法 ,重点介绍了一种低功耗的三数据通道结构 ,最后以MAXPLUSⅡ为工具 ,给出了该结构的现场可编程门阵列 (FPGA)实现。仿真结果显示 ,该方法可以提高数据采集及运算速度 。 展开更多
关键词 浮点加法器 现场可编程门阵列(FPGA) 三数据通道
下载PDF
基于FPGA的32位浮点加法器的设计 被引量:3
16
作者 吉伟 黄巾 +1 位作者 杨靓 黄士坦 《微电子学与计算机》 CSCD 北大核心 2008年第6期209-211,共3页
在综合分析各种浮点加法器算法的基础上,提出了一种符合TI格式标准的32位浮点加法器,同时兼顾了速度和面积两方面因素.本设计在virtex-4系列FPGA上进行了实现,最高速度可达到182.415MHz,资源占用也较为合理.
关键词 浮点加法器 TI 流水线 LOD
下载PDF
并行浮点加法器架构与核心算法的研究 被引量:2
17
作者 陈弦 张伟功 于伦正 《计算机工程与应用》 CSCD 北大核心 2006年第17期53-55,75,共4页
考虑到浮点运算在图形处理中的重要作用,依据速度和面积的优化原理,文章从两个方面对FAU结构中最复杂的双精度浮点加法进行了研究。其一:在结构上采用了三条相互并行的主线,设计了一种尽可能并行处理的三级浮点流水结构,极大地提高了运... 考虑到浮点运算在图形处理中的重要作用,依据速度和面积的优化原理,文章从两个方面对FAU结构中最复杂的双精度浮点加法进行了研究。其一:在结构上采用了三条相互并行的主线,设计了一种尽可能并行处理的三级浮点流水结构,极大地提高了运算的速度,节约了芯片资源;其二:对结构中制约浮点加法速度的关键运算——尾加和移位操作进行了创新设计与实现,并就设计的先进性和高速性与传统设计进行了参数比较和综合分析。 展开更多
关键词 核心算法 浮点加法器 并行 FAU
下载PDF
快速浮点加法器设计研究 被引量:2
18
作者 戴澜 杨松华 《计算机测量与控制》 CSCD 2005年第2期186-188,共3页
浮点加法器处于浮点处理器的关键路径,为提高浮点加法器的速度,对浮点加法器的关键部分进行了研究:采用了预测执行,并行运算技术。引用混合加法器,前导"1"检测采用快速的LOPV电路实现,混合加法器由输出选择电路对"+1ulp&... 浮点加法器处于浮点处理器的关键路径,为提高浮点加法器的速度,对浮点加法器的关键部分进行了研究:采用了预测执行,并行运算技术。引用混合加法器,前导"1"检测采用快速的LOPV电路实现,混合加法器由输出选择电路对"+1ulp"操作进行合并,提高了运算速度,这些技术在双精度FPU和24位浮点DSP中应用得到了理想的效果。 展开更多
关键词 快速浮点加法器 设计 处理器 路径划分 数据路径
下载PDF
并行处理技术及其在浮点加法器设计上的应用
19
作者 管清波 《指挥技术学院学报》 1998年第1期70-73,共4页
本文介绍了逻辑线路设计中的并行处理技术:流水线操作,错位并行技术和并行预处理技术及应用实例。
关键词 并行处理 计算机系统 浮点加法器
下载PDF
基于流水线结构的浮点加法器IP核设计 被引量:2
20
作者 夏杰 宣志斌 薛忠杰 《微计算机信息》 北大核心 2008年第27期192-193,共2页
浮点加法运算是浮点运算中使用频率最高的一种运算,本文采用了五级加法器流水线结构,并使用Verilog HDL硬件描述语言对其进行编码。利在使用SMIC 0.18um CMOS工艺库进行综合,工作频率能达到500MHz。
关键词 浮点加法器 流水线 综合
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部