期刊文献+
共找到36篇文章
< 1 2 >
每页显示 20 50 100
OpenEmulator:一种面向TSN芯片验证的联合仿真平台
1
作者 汪铮 黄容 +2 位作者 吴茂文 孙寅涵 孙志刚 《计算机工程与科学》 CSCD 北大核心 2023年第3期411-419,共9页
硬件仿真器是加快时间敏感网络TSN芯片验证的重要手段。由于TSN芯片复杂性远低于SoC芯片,基于CPU的硬件仿真器可满足TSN芯片验证的需求。为满足TSN芯片设计需求,设计实现了一个面向TSN芯片验证的硬件仿真器OpenEmulator。针对TSN系统仿... 硬件仿真器是加快时间敏感网络TSN芯片验证的重要手段。由于TSN芯片复杂性远低于SoC芯片,基于CPU的硬件仿真器可满足TSN芯片验证的需求。为满足TSN芯片设计需求,设计实现了一个面向TSN芯片验证的硬件仿真器OpenEmulator。针对TSN系统仿真的特点,提出了一种应用于OpenEmulator的时间同步互锁机制,实现了运行芯片HDL设计代码的硬件仿真域与运行真实TSN软件的物理域之间的精确时间同步。OpenEmulator已经在OpenTSN芯片设计中得到应用,基于普通PC机,可在20 min内仿真包含6个节点的TSN网络初始化和首次时间同步功能,大大提升了TSN芯片仿真验证的效率。目前OpenEmulator已经开源并集成到最新发布的OpenTSN开源项目3.4版本中。 展开更多
关键词 时间敏感网络 芯片验证 联合仿真 时间同步 时间互锁
下载PDF
基于FPGA的视频解码芯片验证平台设计 被引量:1
2
作者 李宇 梅顺良 《微计算机信息》 北大核心 2008年第17期209-211,共3页
随着视频编解码算法复杂度的增加,视频处理器设计的难度和成本也大幅度增加。针对视频解码器芯片的仿真和验证要求,文章提出了视频解码芯片的验证框架。基于VirtexE系列的FPGA芯片设计实现了视频解码器的验证平台。并对视频解码器的FPG... 随着视频编解码算法复杂度的增加,视频处理器设计的难度和成本也大幅度增加。针对视频解码器芯片的仿真和验证要求,文章提出了视频解码芯片的验证框架。基于VirtexE系列的FPGA芯片设计实现了视频解码器的验证平台。并对视频解码器的FPGA的验证问题进行了分析。 展开更多
关键词 视频解码器 芯片验证FPGA
下载PDF
一种基于E语言的芯片验证平台优化方法
3
作者 张妮娜 王万财 窦衡 《微电子学与计算机》 CSCD 北大核心 2012年第4期94-96,100,共4页
针对传统基于E语言的ASIC芯片验证环境的仿真低效率,本文提出了一种优化手段,即在E语言实现的验证环境里只做数据相关处理,时序的处理采用verilog实现,以减少软件Specman Elite与verilog仿真器的通信次数.最后以SDH处理芯片的验证为例... 针对传统基于E语言的ASIC芯片验证环境的仿真低效率,本文提出了一种优化手段,即在E语言实现的验证环境里只做数据相关处理,时序的处理采用verilog实现,以减少软件Specman Elite与verilog仿真器的通信次数.最后以SDH处理芯片的验证为例进行实验对比,结果证明了此种实现方式的可行性,并且测试用例的仿真时间在原基础上能缩短50%~70%,对降低芯片的整个设计周期具有显著意义. 展开更多
关键词 芯片验证 E语言 ASIC SDH
下载PDF
基于C++ TCL PLI联合仿真下的芯片验证方法研究
4
作者 潘闻融 周智 《现代电子技术》 2009年第17期115-117,共3页
提出一套分层次的芯片验证架构理论和基于此验证架构下的各个验证组件的构造原理,并且描述了具体实现的方法。介绍使用C语言扩展TCL脚本语言的方法以及使用C语言扩展Verilog语言的PLI方法,通过使用共享缓存技术实现了验证组件的互联。... 提出一套分层次的芯片验证架构理论和基于此验证架构下的各个验证组件的构造原理,并且描述了具体实现的方法。介绍使用C语言扩展TCL脚本语言的方法以及使用C语言扩展Verilog语言的PLI方法,通过使用共享缓存技术实现了验证组件的互联。最后基于Modelsim仿真工具编程实现了C++,TCL和PLI联合仿真验证环境的搭建。为目前芯片验证方法提出了一套现实的解决方案。 展开更多
关键词 芯片验证 C++ TCL VERILOG PLI
下载PDF
多功能车辆总线芯片验证研究与实现 被引量:1
5
作者 林子明 崔同兵 +2 位作者 谷荧柯 靳旭 任军 《铁路通信信号工程技术》 2018年第7期8-13,共6页
多功能车辆总线(MVB)是列车通信网络(TCN)的重要环节,用于列车内部各个设备之间的数据交互通信。集成电路测试是集成电路开发过程中的一部分,其目的是验证芯片各个阶段的实现满足设计需求。介绍自主化的多功能车辆总线芯片开发流程,实... 多功能车辆总线(MVB)是列车通信网络(TCN)的重要环节,用于列车内部各个设备之间的数据交互通信。集成电路测试是集成电路开发过程中的一部分,其目的是验证芯片各个阶段的实现满足设计需求。介绍自主化的多功能车辆总线芯片开发流程,实现从芯片定义到最终产品定型的全周期测试,确保芯片的实现符合设计需求和IEC61375协议规定。 展开更多
关键词 多功能车辆总线 IEC61375 芯片设计 芯片验证
下载PDF
MCU芯片验证中的USB模块批量传输验证
6
作者 宁振海 赵东艳 +3 位作者 唐晓柯 李德建 杨立新 白志华 《单片机与嵌入式系统应用》 2018年第10期4-7,共4页
USB具有简单易用、场景广泛、灵活便携、成本低廉、鲁棒性好等优点,越来越多的嵌入式MCU芯片中包含了USB模块,以便于MCU与PC或外围其他设备的通信。本文主要介绍了MCU芯片验证中USB模块验证的一些经验和方法,USB涵盖了4种传输方式,本文... USB具有简单易用、场景广泛、灵活便携、成本低廉、鲁棒性好等优点,越来越多的嵌入式MCU芯片中包含了USB模块,以便于MCU与PC或外围其他设备的通信。本文主要介绍了MCU芯片验证中USB模块验证的一些经验和方法,USB涵盖了4种传输方式,本文仅对USB批量传输的验证阐述一种方法。 展开更多
关键词 USB 芯片验证 批量传输
下载PDF
数字电视芯片验证硬件平台的设计与应用
7
作者 贺婷 《中国科技期刊数据库 工业A》 2019年第7期0163-0163,165,共2页
当前,半导体产业发展迅速,为提升产业竞争力,必须要尽可能降低生产成本,提升产品性能,在数字电视设计制造中,芯片验证对于整体电视质量会产生较大的影响,这就要求在芯片验证中做好相应的技术平台建设,能够实现将完整的系统功能集中到单... 当前,半导体产业发展迅速,为提升产业竞争力,必须要尽可能降低生产成本,提升产品性能,在数字电视设计制造中,芯片验证对于整体电视质量会产生较大的影响,这就要求在芯片验证中做好相应的技术平台建设,能够实现将完整的系统功能集中到单一芯片中,这样就能实现超大规模集成芯片发展,弥补传统芯片设计中存在的不足和问题等。本文主要介绍了芯片验证硬件平台设计的必要性,分析主要的硬件平台设计流程,并探究相关的硬件设计工具,为数字电视芯片验证硬件平台设计提供一些参考和指导。 展开更多
关键词 数字电视 芯片验证 硬件平台 设计
下载PDF
基于Cortex_M3内核的SoC芯片软硬件协同验证平台设计实现
8
作者 邓睿 余宏 +2 位作者 莫章洁 岳天天 王丹钰 《数字技术与应用》 2023年第6期197-199,共3页
片上系统(System on Chip,SoC)一般包括可配置的通用IP核和用户自行设计的专用IP核组成的系统[1]。SoC芯片的规模、复杂度和集成度日益增加,芯片验证的时间占据了整个研发周期的三分之二,验证的充分性有效地保证了芯片投片的成功率[2]... 片上系统(System on Chip,SoC)一般包括可配置的通用IP核和用户自行设计的专用IP核组成的系统[1]。SoC芯片的规模、复杂度和集成度日益增加,芯片验证的时间占据了整个研发周期的三分之二,验证的充分性有效地保证了芯片投片的成功率[2]。在基于处理器IP设计构建出SoC芯片系统后,如何对系统架构和各功能进行验证的复杂度也在不断提高。在SoC芯片设计阶段的验证,通常分为两个阶段来进行验证。第一个阶段是在设计初期,使用软硬件协同仿真技术进行早期验证与开发,在此过程中主要是利用仿真技术对硬件系统功能进行验证以及设计漏洞的调试,是SoC设计中非常重要的环节。 展开更多
关键词 软硬件协同仿真 片上系统 IP核 SOC设计 SOC芯片 芯片验证 软硬件协同验证平台 仿真技术
下载PDF
ARINC659芯片协议符合性验证关键技术研究 被引量:5
9
作者 田泽 郭亮 +3 位作者 刘宁宁 许宏杰 王泉 邵刚 《航空电子技术》 2013年第1期37-42,共6页
ARINC659总线主要用于机架内部各在线可更换模块(LRM)间的通信。在ARINC659芯片设计过程中,如何对协议符合性进行充分验证是确保芯片设计符合协议的关键和核心。本文首先对ARINC659总线产生的背景及ARINC659协议及总线协议处理芯片进行... ARINC659总线主要用于机架内部各在线可更换模块(LRM)间的通信。在ARINC659芯片设计过程中,如何对协议符合性进行充分验证是确保芯片设计符合协议的关键和核心。本文首先对ARINC659总线产生的背景及ARINC659协议及总线协议处理芯片进行了概述,并结合ARINC659总线协议处理芯片对芯片协议符合性验证中的关键技术进行了分析和研究。 展开更多
关键词 ARINC659芯片 协议符合性 芯片验证
下载PDF
FPGA验证流程综述
10
作者 张勇 陈逸韬 《科技资讯》 2024年第4期20-22,共3页
现场可编程门阵列(Field-Programmable Gate Array,FPGA),也被称为FPGA芯片,在通信、安防、工业等领域有着举足轻重的作用。随着FPGA芯片的规模不断扩大、性能不断提升,其模块数量、电路网表规模、连接复杂度也随之增加。在此趋势下,如... 现场可编程门阵列(Field-Programmable Gate Array,FPGA),也被称为FPGA芯片,在通信、安防、工业等领域有着举足轻重的作用。随着FPGA芯片的规模不断扩大、性能不断提升,其模块数量、电路网表规模、连接复杂度也随之增加。在此趋势下,如何有效地提升大规模FPGA电路的验证效率与验证完备性变得更为重要。一个完整的、有针对性的、结构性的验证流程方法,能更全面地对电路设计情况进行覆盖性检查,确保FPGA芯片功能的正确性。详细叙述从底层到顶层(模块级、子系统级、全芯片级)的FPGA芯片验证方式,包括它们各自的验证方法、流程与侧重等细节,探讨了这种方式是如何帮助FPGA验证工作进行的。 展开更多
关键词 FPGA芯片 验证效率 验证流程方法 芯片验证
下载PDF
基于UVM的异步接口CAN控制器验证平台
11
作者 孙维东 胡小刚 《电子技术应用》 2024年第1期35-40,共6页
针对带有异步接口的CAN控制器,设计实现了一种基于UVM的随机化、可重用的功能验证平台。该平台使用面向对象的UVM类搭建,代码可重用性更强,开发周期更短;引入随机化程度更高的激励加快功能验证的收敛速度,且更加贴近芯片的实际应用场景... 针对带有异步接口的CAN控制器,设计实现了一种基于UVM的随机化、可重用的功能验证平台。该平台使用面向对象的UVM类搭建,代码可重用性更强,开发周期更短;引入随机化程度更高的激励加快功能验证的收敛速度,且更加贴近芯片的实际应用场景;自动化比对机制可以实时地输出结果报告,便于问题的定位和调试。平台独创性地实现了CAN总线代理器和异步接口驱动器两个组件,兼容CAN 2.0B标准协议和Intel/Motorola异步接口时序,实现了平台与DUT的数据交互。实验结果表明,设计验证平台可以有效验证待测设计异步接口CAN控制器。 展开更多
关键词 芯片设计验证 通用验证方法学 可重用验证平台 控制器局域网
下载PDF
EPON ONU芯片的硬件验证与测试平台设计
12
作者 张俊杰 洪亮 +1 位作者 叶家骏 王加 《光通信技术》 CSCD 北大核心 2008年第3期40-42,共3页
介绍了SHU 2006 ONU芯片的硬件验证与测试平台系统,利用该验证平台实现了SHU 2006 ONU芯片的功能以及TBI高速接口的时序测试。在该验证平台上,100M以太网的FTP数据传输速率可以达到8Mb/s。
关键词 以太无源光网络 光网络单元 芯片验证
下载PDF
单片集成TFT-LCD驱动芯片的设计验证策略 被引量:1
13
作者 程鹏 魏廷存 +1 位作者 魏晓敏 李博 《半导体技术》 CAS CSCD 北大核心 2008年第3期264-268,共5页
混合信号VLSI芯片的单片特性验证是此类芯片的设计难题之一。针对典型的混合信号VLSI芯片——单片集成薄膜晶体管液晶显示器(TFT-LCD)驱动芯片,设计了一种能够直观模拟液晶显示的系统级验证平台,并利用此验证平台验证了系统架构的正确... 混合信号VLSI芯片的单片特性验证是此类芯片的设计难题之一。针对典型的混合信号VLSI芯片——单片集成薄膜晶体管液晶显示器(TFT-LCD)驱动芯片,设计了一种能够直观模拟液晶显示的系统级验证平台,并利用此验证平台验证了系统架构的正确性。还针对此芯片的设计特点,结合系统验证平台为整个设计流程的各个阶段提出了不同的验证策略。通过对这些策略的配合使用,对芯片特性进行了全面验证,包括模块级验证、芯片级验证以及物理验证。该验证策略具有高效、直观、可靠等特点。 展开更多
关键词 薄膜晶体管液晶显示器 驱动芯片 混合信号仿真 芯片验证
下载PDF
铁路专用芯片服务器端可重用开发环境的研究 被引量:1
14
作者 马盼 林子明 《铁路通信信号工程技术》 2023年第2期22-26,共5页
铁路专用芯片是国内轨道交通列控系统底层核心攻关技术之一,为助力芯片设计开发效率提高及减少后期维护成本,分别从数字专用芯片前端设计和仿真验证两个方面分析开发环境的功能需求,提出基于linux服务器端可重用芯片开发环境,详细说明... 铁路专用芯片是国内轨道交通列控系统底层核心攻关技术之一,为助力芯片设计开发效率提高及减少后期维护成本,分别从数字专用芯片前端设计和仿真验证两个方面分析开发环境的功能需求,提出基于linux服务器端可重用芯片开发环境,详细说明该环境的设计结构、启动流程和应用方法。该开发环境可实现从模块级到芯片级,RTL级到门级网表,单测试用例到测试用例集的开发、仿真、管理和多人协同工作,在保证芯片可靠性同时提升开发效率。 展开更多
关键词 ASIC开发环境 可重用 芯片设计 芯片验证
下载PDF
基于C8051F500微控制器的逻辑门电路验证系统设计 被引量:1
15
作者 蔡玉辉 《微处理机》 2023年第1期6-9,共4页
为进一步优化逻辑门电路产品的验证与测试流程,基于C8051F500微控制器,提出一种逻辑门电路验证系统。系统综合运用微控制器技术、通信技术、计算机技术,可输出多路不同频率、相位的信号用作逻辑门电路激励,通过微控制器实时采集逻辑门... 为进一步优化逻辑门电路产品的验证与测试流程,基于C8051F500微控制器,提出一种逻辑门电路验证系统。系统综合运用微控制器技术、通信技术、计算机技术,可输出多路不同频率、相位的信号用作逻辑门电路激励,通过微控制器实时采集逻辑门电路的输出信号,也可在示波器上直接监测输出信号,准确验证与测试电路功能与性能。系统可用于测量与、或、非逻辑门电路及由其构成的其他逻辑门电路,适应性强,操作灵活方便,有助于简化实验人员操作流程。 展开更多
关键词 C8051F500微控制器 逻辑门电路 芯片验证系统
下载PDF
基于抗辐照xxMHz芯片仿真验证技术研究
16
作者 何彩霞 夏开华 +2 位作者 王巍 韩学涛 梁富 《数字技术与应用》 2018年第2期101-103,共3页
本文设计了基于抗辐照xxMHz芯片全套仿真验证流程,包括FPGA代码验证、芯片板级验证和抗辐照试验验证。首先重点介绍了FPGA代码验证,从验证在现代IC流程中的重要地位开始,到验证的基本方法,仿真验证平台的搭建,FPGA后端验证,提炼了高效... 本文设计了基于抗辐照xxMHz芯片全套仿真验证流程,包括FPGA代码验证、芯片板级验证和抗辐照试验验证。首先重点介绍了FPGA代码验证,从验证在现代IC流程中的重要地位开始,到验证的基本方法,仿真验证平台的搭建,FPGA后端验证,提炼了高效通用的仿真验证平台的搭建。其次简单介绍了芯片板级验证。最后简单介绍了抗辐照试验验证。 展开更多
关键词 抗辐照芯片 FPGA代码验证 芯片板级验证 抗辐照试验验证 高效通用的仿真验证平台
下载PDF
软硬件混合的高效CHI协议分析
17
作者 赵祉乔 周理 +3 位作者 荀长庆 潘国腾 铁俊波 王伟征 《计算机工程与科学》 CSCD 北大核心 2024年第2期224-231,共8页
在片上系统SoC开发过程中,如何高效准确地进行功能验证与性能分析,是亟待解决的难题。针对目前在FPGA原型平台上对片上网络协议监测手段有限的问题,提出了一种软硬件混合的高效CHI协议监测和分析方法,通过SystemVerilog的直接编程接口DP... 在片上系统SoC开发过程中,如何高效准确地进行功能验证与性能分析,是亟待解决的难题。针对目前在FPGA原型平台上对片上网络协议监测手段有限的问题,提出了一种软硬件混合的高效CHI协议监测和分析方法,通过SystemVerilog的直接编程接口DPI连接C代码,由可综合的硬件部分提供共享函数体,不可综合的软件部分通过共享函数体从片上网络协议的各个通道捕捉待测SoC中的CHI报文,进行离线保存或在线检查。实验结果表明,该方法具有硬件资源占用少、可重用性高的优点,离线模式对仿真速率影响不大,在线模式可以在待测SoC运行的同时发现问题,能够实现在原型平台上对CHI协议报文的高效监测,有效加速SoC问题的定位和性能分析。 展开更多
关键词 CHI协议 FPGA 芯片验证 软硬件混合
下载PDF
面向FPGA芯片开发的测试方法设计与实现 被引量:4
18
作者 李艳 陈陵都 +3 位作者 陈亮 李明 张倩莉 于芳 《微电子学与计算机》 CSCD 北大核心 2014年第10期22-27,共6页
针对自主研发的SOI-CMOS工艺FPGA芯片VS1000,开发出一种FPGA测试工具(VVK)软件系统.VVK是借助Verilog HDL描述电路和UCF约束电路的特性开发并实现的全自动测试方法.其意义在于解决了设计FPGA芯片过程中面临的最冗繁棘手的验证和测试难题... 针对自主研发的SOI-CMOS工艺FPGA芯片VS1000,开发出一种FPGA测试工具(VVK)软件系统.VVK是借助Verilog HDL描述电路和UCF约束电路的特性开发并实现的全自动测试方法.其意义在于解决了设计FPGA芯片过程中面临的最冗繁棘手的验证和测试难题,可以实现FPGA全芯片、内部各种逻辑模块的功能结构的验证和测试.该工具可以用于FPGA流片前的行为级、晶体管级的仿真和验证、FPGA圆片测试、以及FPGA芯片抗辐照测试.验证和测试的结果证明了这套方法的正确性、高效性,同时这种测试方法也适用于其他架构FPGA的测试. 展开更多
关键词 FPGA芯片验证与测试 测试方法 测试向量 测试覆盖率
下载PDF
关于“数字集成电路验证技术”课程教学过程探究 被引量:2
19
作者 杨燕 马文英 《教育教学论坛》 2022年第31期81-84,共4页
随着集成电路技术的飞速发展,片上系统(SOC)已经成为当今集成电路的主流。SOC系统级芯片验证是目前芯片设计过程中的重要环节,其相关人才需求更是迫切。然而,集成电路领域里对芯片进行硅前验证的相关课程却非常少。因此,在集成电路与集... 随着集成电路技术的飞速发展,片上系统(SOC)已经成为当今集成电路的主流。SOC系统级芯片验证是目前芯片设计过程中的重要环节,其相关人才需求更是迫切。然而,集成电路领域里对芯片进行硅前验证的相关课程却非常少。因此,在集成电路与集成系统专业开设“数字集成电路验证技术”课程就显得非常必要。通过探究“数字集成电路验证技术”课程教学内容,科学系统地分析了课程在教学中存在的主要问题,在授课方式、授课内容、实验平台、师生互动、教学手段等方面提出了行之有效的改进措施,从而为该课程的教学改革提出了改进方案。 展开更多
关键词 集成电路 芯片验证 教学改革
下载PDF
基于UVM的可重用SoC功能验证环境 被引量:9
20
作者 吕毓达 谢雪松 张小玲 《半导体技术》 CAS CSCD 北大核心 2015年第3期234-238,共5页
现在系统级芯片(So C)系统集成度和复杂度不断提高,验证环节消耗时间占用了芯片研发时间的70%,芯片验证已经成为芯片研发中最关键的环节。目前业界验证方法大多有覆盖率低和通用性差等缺点,基于上述原因提出了一种新的验证方法。与传统... 现在系统级芯片(So C)系统集成度和复杂度不断提高,验证环节消耗时间占用了芯片研发时间的70%,芯片验证已经成为芯片研发中最关键的环节。目前业界验证方法大多有覆盖率低和通用性差等缺点,基于上述原因提出了一种新的验证方法。与传统验证方法和单纯的通用验证方法学(UVM)不同,该方法结合系统级芯片验证和模块级验证的特点,并且融合UVM和知识产权验证核(VIP)模块验证的验证技术,且使用了So C系统功能仿真模型以提高验证覆盖率和准确性。验证结果表明,同一架构系列So C芯片可以移植于该验证平台中,并且可大幅缩短平台维护与开发时间,采用该验证方法的代码覆盖率为98.9%,功能覆盖率为100%。 展开更多
关键词 通用验证方法学(UVM) CPU功能模型 随机测试向量 系统级芯片验证 系统级芯片(SoC)
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部