期刊文献+
共找到99篇文章
< 1 2 5 >
每页显示 20 50 100
一种高速实时浮点蝶形运算单元的设计与实现 被引量:6
1
作者 杨军 郭跃东 丁俊 《仪器仪表学报》 EI CAS CSCD 北大核心 2010年第3期519-524,共6页
本文以CORDIC算法为基础,将浮点数的复乘与旋转因子的求值都统一到了一个迭代运算中。既减少了复乘运算的复杂度也降低了快速傅里叶变换中蝶形单元在处理浮点数时的难度,成为浮点FFT处理器设计的一种新思路。该蝶形运算单元不受外部存... 本文以CORDIC算法为基础,将浮点数的复乘与旋转因子的求值都统一到了一个迭代运算中。既减少了复乘运算的复杂度也降低了快速傅里叶变换中蝶形单元在处理浮点数时的难度,成为浮点FFT处理器设计的一种新思路。该蝶形运算单元不受外部存储器的大小,可以处理高样本数的傅里叶变换,同时具有处理范围大,处理精度高的特点。最终以Altera的EP2C20F484C6芯片为下载目标,其时序仿真可正常运行在100 MHz的时钟频率下。 展开更多
关键词 蝶形运算 浮点 CORDIC算法 FPGA
下载PDF
一种精简结构的浮点蝶形运算单元设计
2
作者 于龙洋 段文伟 李署坚 《电讯技术》 北大核心 2011年第9期73-77,共5页
论述了一种结构精简且高效的浮点数蝶形运算单元设计,单元内部模块的使用效率接近100%。采用串行全流水线结构设计,与并行结构相比节省了75%的硬件资源消耗。利用按时间抽取(DIT)的快速傅里叶变换(FFT)算法,通过VHDL编程实现了以该蝶形... 论述了一种结构精简且高效的浮点数蝶形运算单元设计,单元内部模块的使用效率接近100%。采用串行全流水线结构设计,与并行结构相比节省了75%的硬件资源消耗。利用按时间抽取(DIT)的快速傅里叶变换(FFT)算法,通过VHDL编程实现了以该蝶形单元为基础的1 024点浮点FFT处理器。QUARTUS II中的仿真结果证明了设计的正确性。该设计已成功应用于一种音频信号分析仪的信号处理部分。 展开更多
关键词 信号处理 蝶形运算单元 浮点数 快速傅里叶变换 流水线 按时间抽取
下载PDF
一种高效的基四浮点蝶形运算模块研究
3
作者 杨靓 史浩山 +1 位作者 徐伟 黄士坦 《山西师范大学学报(自然科学版)》 2003年第1期23-27,共5页
FFT是数字信号处理中的一种非常重要的算法 ,蝶形运算模块是FFT处理其中的重要构造模块 .本文给出了一个高效的基四蝶形运算模块结构设计方案并进行了实验验证 .验证结果证明 ,该结构利用蝶形运算中重复计算的特点 ,进一步节约了蝶形运... FFT是数字信号处理中的一种非常重要的算法 ,蝶形运算模块是FFT处理其中的重要构造模块 .本文给出了一个高效的基四蝶形运算模块结构设计方案并进行了实验验证 .验证结果证明 ,该结构利用蝶形运算中重复计算的特点 ,进一步节约了蝶形运算模块的资源 .大大提高了运算模块的使用效率 . 展开更多
关键词 FFT 基四蝶形运算模块 浮点运算 数字信号处理 模块结构 模块设计
下载PDF
用于全同态加密的数论变换乘法蝶形运算优化及实现 被引量:4
4
作者 华斯亮 张惠国 王书昶 《电子与信息学报》 EI CSCD 北大核心 2021年第5期1381-1388,共8页
全同态加密(FHE)可以真正从根本上解决云计算时将数据及其操作委托给第三方时的数据安全问题。针对全同态加密中占较大比例的大整数乘法运算优化需求,该文提出一种数论变换乘法蝶形运算的操作数合并算法,利用取模操作的快速算法,分别可... 全同态加密(FHE)可以真正从根本上解决云计算时将数据及其操作委托给第三方时的数据安全问题。针对全同态加密中占较大比例的大整数乘法运算优化需求,该文提出一种数论变换乘法蝶形运算的操作数合并算法,利用取模操作的快速算法,分别可将基16和基32运算单元的操作数减少到43.8%和39.1%。在此基础上,设计并实现了数论变换基32运算单元的硬件设计架构,在SMIC 90 nm工艺下的综合结果显示,电路的最高工作频率为600 MHz,面积1.714 mm^(2)。实验结果表明,该优化算法提升了数论变换乘法蝶形运算的计算效率。 展开更多
关键词 全同态加密 大整数乘法 数论变换 蝶形运算
下载PDF
一种高性能的基-4FFT蝶形运算单元 被引量:2
5
作者 陈媚媚 朱恩 《电子工程师》 2008年第12期40-44,共5页
基于TSMC(台湾集成电路制造公司)0.18μm CMOS工艺库,设计了一种高性能的基-4FFT(快速傅里叶变换)蝶形运算单元,并对结构进行了研究和改进。结合流水线技术和并行结构的特点,利用循环序列进行时序控制,对IEEE754单精度浮点数构成的复数... 基于TSMC(台湾集成电路制造公司)0.18μm CMOS工艺库,设计了一种高性能的基-4FFT(快速傅里叶变换)蝶形运算单元,并对结构进行了研究和改进。结合流水线技术和并行结构的特点,利用循环序列进行时序控制,对IEEE754单精度浮点数构成的复数进行处理。相对于传统的基-4FFT蝶形运算单元可以节省75%的乘法器逻辑资源和72.7%的加法器逻辑资源。逻辑综合与版图综合后的报告显示核面积为1.12mm2。仿真结果表明,系统能够稳定工作在200MHz时钟下,且输出数据精度较高。本设计的速度、精度及面积均达到了设计指标。 展开更多
关键词 基-4 FFT蝶形运算 流水线结构 并行方式 循环序列
下载PDF
基于FPGA的基2DIT-FFT蝶形运算设计与实现 被引量:5
6
作者 梁东 《信息通信》 2020年第7期41-43,共3页
详细介绍基2时间抽取快速傅立叶变换(DIT-FFT)的基本原理,在深入研究蝶形运算的基础上,针对蝶形运算中数据和运算参数地址选取的复杂性问题,通过对三个简易计数器的设计,解决蝶形运算中复杂的地址选取操作,使蝶形运算过程简单化,最后基... 详细介绍基2时间抽取快速傅立叶变换(DIT-FFT)的基本原理,在深入研究蝶形运算的基础上,针对蝶形运算中数据和运算参数地址选取的复杂性问题,通过对三个简易计数器的设计,解决蝶形运算中复杂的地址选取操作,使蝶形运算过程简单化,最后基于FPGA平台对基2DIT-FFT蝶形运算的存储器模块和计数器模块进行仿真实现。 展开更多
关键词 蝶形运算 DIT-FFT FPGA
下载PDF
高效复数流水线蝶形单元的FPGA实现 被引量:4
7
作者 朱冰莲 孔杰 《电子测量与仪器学报》 CSCD 2005年第4期77-80,共4页
在实时信号处理系统的设计中,要求用尽量少的硬件资源实现高速的FFT蝶形运算,本文介绍了一种高效复数流水线蝶形单元的FPGA实现,该方法充分结合信号处理算法和EDA优化手段,从成本和速度两个方面折中考虑,在大大减少存储单元和提高速度... 在实时信号处理系统的设计中,要求用尽量少的硬件资源实现高速的FFT蝶形运算,本文介绍了一种高效复数流水线蝶形单元的FPGA实现,该方法充分结合信号处理算法和EDA优化手段,从成本和速度两个方面折中考虑,在大大减少存储单元和提高速度的同时,不牺牲额外的硬件成本。其性能对于大点数FFT运算有明显的优势。 展开更多
关键词 可编程逻辑器件(FPCA) 快速傅立叶变换(FFT) 流水线蝶形 电子设计自动化(EDA) FPGA实现 蝶形运算 存储单元 流水线 复数 信号处理系统
下载PDF
基于FPGA的格密码关键运算模块的设计与实现
8
作者 韩炼冰 房利国 +2 位作者 王松 刘鸿博 杨敏旭 《通信技术》 2022年第12期1613-1617,共5页
格密码是后量子密码中的一项重要技术,为提高格密码运算效率,提出了一种格密码中多项式乘法的硬件实现方法。该方法利用现场可编程门阵列(Field Program Gate Array,FPGA)内部存储器存放多项式系数,采用乒乓结构提高存储器并行读写速度... 格密码是后量子密码中的一项重要技术,为提高格密码运算效率,提出了一种格密码中多项式乘法的硬件实现方法。该方法利用现场可编程门阵列(Field Program Gate Array,FPGA)内部存储器存放多项式系数,采用乒乓结构提高存储器并行读写速度,并通过预计算和预缩放简化计算过程,降低计算复杂度。同时,采用多级流水线技术,减少存取时间和蝶形运算等待时间,提升整体编译频率,提高运算性能。评估结果表明,该方法最大工作频率达到了320 MHz,完成一次1 024项多项式乘法运算的时间为41μs。 展开更多
关键词 后量子密码 现场可编程门阵列 数论变换 多项式乘法 蝶形运算
下载PDF
基于FPGA高精度浮点运算器的FFT设计与仿真 被引量:4
9
作者 张雪姣 伍萍辉 《电子科技》 2011年第12期88-90,共3页
基于IEEE浮点表示格式及FFT算法,提出一种基2FFT的FPGA方法,完成了基于FPGA高精度浮点运算器的FFT的设计。利用VHDL语言描述了蝶形运算过程及地址产生单元,其仿真波形基本能正确的表示输出结果。
关键词 快速傅里叶变换 浮点 蝶形运算 VHDL
下载PDF
格密码关键运算模块的硬件实现优化与评估 被引量:4
10
作者 陈朝晖 马原 荆继武 《北京大学学报(自然科学版)》 EI CAS CSCD 北大核心 2021年第4期595-604,共10页
为提高格密码在实际应用中的运算效率,提出一种格密码中多项式乘法运算的优化实现技术。该技术采用乒乓结构存储多项式系数,用以提升存取带宽,通过消除预缩放运算,减少10.5%的模乘运算和16.7%的存储空间占用,采用移位寄存器和三输入加... 为提高格密码在实际应用中的运算效率,提出一种格密码中多项式乘法运算的优化实现技术。该技术采用乒乓结构存储多项式系数,用以提升存取带宽,通过消除预缩放运算,减少10.5%的模乘运算和16.7%的存储空间占用,采用移位寄存器和三输入加法器的结构,有效地减少逻辑资源占用。同时,设计具有可选层级的流水线结构,使多项式乘法中的蝶形运算模块可以满足不同密码硬件系统的时序要求。评估结果表明,采用优化技术的低面积、均衡型和高性能实现的蝶形运算模块最大工作频率分别可达到150,250和350 MHz以上。与现有实现技术相比,优化的多项式乘法硬件实现能够以更小的电路面积实现更高的工作频率,使电路效率提升22.8%。 展开更多
关键词 后量子密码 多项式乘法 数论变换 蝶形运算 FPGA
下载PDF
用FPGA实现浮点FFT处理器的研究 被引量:12
11
作者 王远模 赵宏钟 +1 位作者 张军 付强 《国防科技大学学报》 EI CAS CSCD 北大核心 2004年第6期61-64,共4页
针对定点FFT处理器精度不高的缺点,提出了浮点格式FFT处理器的FPGA硬件实现方案。详细阐述了FFT处理器的自定制浮点格式确定、算法选择和浮点加法实现等关键技术。该处理器已投入使用,工作性能稳定,系统时钟80MHz,完成1024点FFT IFFT运... 针对定点FFT处理器精度不高的缺点,提出了浮点格式FFT处理器的FPGA硬件实现方案。详细阐述了FFT处理器的自定制浮点格式确定、算法选择和浮点加法实现等关键技术。该处理器已投入使用,工作性能稳定,系统时钟80MHz,完成1024点FFT IFFT运算只需64μs,误差小于-80dB。 展开更多
关键词 FPGA FFT 蝶形运算
下载PDF
基于FPGA架构的可变点FFT处理器设计与实现 被引量:7
12
作者 才华 陈广秋 +2 位作者 刘广文 耿振野 杜兆圣 《吉林大学学报(理学版)》 CAS CSCD 北大核心 2018年第1期151-158,共8页
通过对传统的基-4快速Fourier变换(FFT)算法进行优化,降低基-4算法的复杂度,使其具有基-2算法的蝶形结构.采用优化后的基-4/2混合基算法及流水线基-22单路延时反馈(R22SDF)结构设计可变点FFT处理器,并对输出结果进行功能和信号仿真验证... 通过对传统的基-4快速Fourier变换(FFT)算法进行优化,降低基-4算法的复杂度,使其具有基-2算法的蝶形结构.采用优化后的基-4/2混合基算法及流水线基-22单路延时反馈(R22SDF)结构设计可变点FFT处理器,并对输出结果进行功能和信号仿真验证.结果表明,该处理器的有效性和执行效率均表现良好. 展开更多
关键词 正交频分多址技术 快速FOURIER变换 蝶形运算 流水线 基-2^2单路延时反馈
下载PDF
基于FPGA的FFT处理器的研究与设计 被引量:5
13
作者 王全州 裴东 +2 位作者 杨志民 杨硕 陶中幸 《西北师范大学学报(自然科学版)》 CAS 2008年第5期38-42,共5页
提出了一种基于FPGA的64点定点快速傅立叶变换(FFT)的实现方案,并采用EP2C70型号的FPGA实现了处理器.该处理器采用按时间抽取的基-2算法和6级流水线结构,每级将乘法器的旋转因子输入端固定为常数而不是作为变量从ROM中读取,流水寄存中... 提出了一种基于FPGA的64点定点快速傅立叶变换(FFT)的实现方案,并采用EP2C70型号的FPGA实现了处理器.该处理器采用按时间抽取的基-2算法和6级流水线结构,每级将乘法器的旋转因子输入端固定为常数而不是作为变量从ROM中读取,流水寄存中间数据结果.采用Verilog语言在RTL级上进行了编程实现,并进行了逻辑综合、时序仿真和硬件测试.硬件测试结果与Matlab计算结果吻合得较好,证明了方案设计和程序的正确性.该处理器具有运算速度快、精度高等优点,适合于高速信号处理的应用场合. 展开更多
关键词 FFT 流水线 蝶形运算
下载PDF
流水线结构FFT/IFFT处理器的设计与实现 被引量:9
14
作者 何星 张铁军 侯朝焕 《微电子学与计算机》 CSCD 北大核心 2007年第4期141-143,147,共4页
针对实时高速信号处理的要求,设计并实现了一种高效的FFT处理器。在分析了FFT算法的复杂度和硬件实现结构的基础上,处理器采用了按频率抽取的基-4算法,分级流水线以及定点运算结构。可以根据要求设置成4P点的FFT或IFFT。处理器可以对多... 针对实时高速信号处理的要求,设计并实现了一种高效的FFT处理器。在分析了FFT算法的复杂度和硬件实现结构的基础上,处理器采用了按频率抽取的基-4算法,分级流水线以及定点运算结构。可以根据要求设置成4P点的FFT或IFFT。处理器可以对多个输入序列进行连续的FFT运算,消除了数据的输入输出对延时的影响,平均每完成一次N点FFT运算仅需要N个时钟周期。整个设计基于Verilog HDL语言进行模块化设计,并在Altera公司的CycloneII器件上实现。 展开更多
关键词 FFT 流水线 基—4 蝶形运算
下载PDF
后量子密码CRYSTALS-Kyber的FPGA多路并行优化实现 被引量:4
15
作者 李斌 陈晓杰 +1 位作者 冯峰 周清雷 《通信学报》 EI CSCD 北大核心 2022年第2期196-207,共12页
在基于格的后量子密码中,多项式乘法运算复杂且耗时,为提高格密码在实际应用中的运算效率,提出了一种后量子密码CRYSTALS-Kyber的FPGA多路并行优化实现。首先,描述了Kyber算法的流程,分析了NTT、INTT及CWM的执行情况。其次,给出了FPGA... 在基于格的后量子密码中,多项式乘法运算复杂且耗时,为提高格密码在实际应用中的运算效率,提出了一种后量子密码CRYSTALS-Kyber的FPGA多路并行优化实现。首先,描述了Kyber算法的流程,分析了NTT、INTT及CWM的执行情况。其次,给出了FPGA的整体结构,采用流水线技术设计了蝶形运算单元,并以Barrett模约简和CWM调度优化,提高了计算效率。同时,放置32个蝶形运算单元并行执行,缩短了整体计算周期。最后,对多RAM通道进行了存储优化,以数据的交替存取控制和RAM资源复用,提高了访存效率。此外,采用松耦合架构,以DMA通信实现了整体运算的调度。实验结果和分析表明,所提方案可在44、49、163个时钟周期内完成NTT、INTT及CWM运算,优于其他方案,具有较高的能效比。 展开更多
关键词 后量子密码 CRYSTALS-Kyber 现场可编程门阵列 数论变换 多项式乘法 蝶形运算
下载PDF
高性能基4快速傅里叶变换处理器的设计 被引量:10
16
作者 段小东 顾立志 《计算机工程》 CAS CSCD 北大核心 2008年第24期238-240,243,共4页
研究并设计高性能基4快速傅里叶变换(FFT)处理器。采用基4算法、流水线结构的蝶形运算单元,提高了处理速度,使芯片能在更高的时钟频率上工作。运用溢出检测状态机对每个蝶形运算单元输出的数据进行块浮点检查,确保对溢出情况进行正确判... 研究并设计高性能基4快速傅里叶变换(FFT)处理器。采用基4算法、流水线结构的蝶形运算单元,提高了处理速度,使芯片能在更高的时钟频率上工作。运用溢出检测状态机对每个蝶形运算单元输出的数据进行块浮点检查,确保对溢出情况进行正确判断。验证与性能评估结果表明,该FFT处理器具有较高性能。 展开更多
关键词 快速傅里叶变换算法 基4算法 块浮点算法 蝶形运算单元
下载PDF
高速FFT芯片设计及结构研究 被引量:5
17
作者 黄宁 朱恩 荣瑜 《电子器件》 CAS 2008年第2期511-515,共5页
基于TSMC0.18μm CMOS工艺库,设计了一种高速FFT处理芯片,并对结构进行了研究和改进。系统采用时间抽取的快速傅里叶变换基2算法、流水线结构,对IEEE754单精度浮点数构成的复数进行处理。逻辑综合与版图综合后的报告显示系统的核面积(包... 基于TSMC0.18μm CMOS工艺库,设计了一种高速FFT处理芯片,并对结构进行了研究和改进。系统采用时间抽取的快速傅里叶变换基2算法、流水线结构,对IEEE754单精度浮点数构成的复数进行处理。逻辑综合与版图综合后的报告显示系统的核面积(包含RAM和ROM)为3.61mm2。仿真结果表明,系统能够稳定工作在166.7MHz时钟下,且输出数据精度较高。本次设计的速度、精度及面积均达到了设计指标。 展开更多
关键词 快速傅立叶变换 蝶形运算 流水线 w因子 结构 FPGA 芯片
下载PDF
快速傅里叶变换的误差分析 被引量:7
18
作者 贾玉臣 吴嗣亮 《北京理工大学学报》 EI CAS CSCD 北大核心 2005年第8期739-742,共4页
分析了按时间抽取(DIT)基-2快速傅里叶变换(FFT)的误差,数据格式为二进制补码.给出了蝶形运算误差分析模型,利用FFT信号流图的特点,针对截断、舍入和收敛舍入3种量化方法,得到了准确的定点和块浮点两种FFT算法的均方误差上下限.最后给... 分析了按时间抽取(DIT)基-2快速傅里叶变换(FFT)的误差,数据格式为二进制补码.给出了蝶形运算误差分析模型,利用FFT信号流图的特点,针对截断、舍入和收敛舍入3种量化方法,得到了准确的定点和块浮点两种FFT算法的均方误差上下限.最后给出了噪信比结果,并用Matlab对其进行了仿真,结果表明,块浮点FFT算法优于定点FFT算法,舍入和收敛舍入量化方法优于截断量化方法. 展开更多
关键词 快速傅里叶变换 蝶形运算 收敛舍入 均方误差
下载PDF
语谱分析的FPGA实现 被引量:3
19
作者 孙红英 杨鸿武 陶中幸 《电子与信息学报》 EI CSCD 北大核心 2011年第5期1238-1242,共5页
语谱是语音信号短时时频分析结果的图形显示,能够清楚地揭示语音信号的时变频谱特性,反映语音信号的动态频谱特性和时域变化特性,在语音信号的分析以及语音学的研究中具有重要的价值。该文利用短时傅里叶变换(Short Time Fourier Transf... 语谱是语音信号短时时频分析结果的图形显示,能够清楚地揭示语音信号的时变频谱特性,反映语音信号的动态频谱特性和时域变化特性,在语音信号的分析以及语音学的研究中具有重要的价值。该文利用短时傅里叶变换(Short Time Fourier Transform,STFT)方法对语音信号进行分析,采用了一种按时域抽取基-4 FFT算法实现流水线结构的蝶形运算单元,在FPGA上实现了语音信号的语谱分析,并利用非线性映射算法实现了语谱的VGA显示。 展开更多
关键词 语谱 时频分析 短时傅里叶变换(STFT) 蝶形运算单元 FPGA
下载PDF
实序列FFT算法的存储单元图解析方法 被引量:3
20
作者 赵鸿图 陈书平 吴尧辉 《计算机工程与设计》 CSCD 北大核心 2012年第8期3083-3088,共6页
为了正确有效地开发实序列FFT的汇编语言程序,提出了以存储单元图的方式解析实序列FFT算法的方法。首先推导了由复序列FFT的实虚部计算实序列FFT的实虚部的公式,指出了计算复序列FFT所包括的级别、蝶组、蝶形三层循环,所涉及的正弦量的... 为了正确有效地开发实序列FFT的汇编语言程序,提出了以存储单元图的方式解析实序列FFT算法的方法。首先推导了由复序列FFT的实虚部计算实序列FFT的实虚部的公式,指出了计算复序列FFT所包括的级别、蝶组、蝶形三层循环,所涉及的正弦量的计算与存储方式,以及复序列FFT转化为实序列FFT的步骤等。在此基础上利用存储单元图在TMS320C54X汇编语言环境下详细解析了实序列FFT的实虚部计算公式。设计了复序列FFT的实虚部计算的第一级、第二级、第三级到最后级的存储单元图,由复序列FFT的实虚部计算其共轭对称与反对称部分的实虚部的存储单元图,以及由此计算实序列FFT的存储单元图。CCS3.3环境下的仿真结果验证了该解析方法的正确性。 展开更多
关键词 快速傅里叶变换 蝶形运算 存储单元图 汇编语言 算法解析
下载PDF
上一页 1 2 5 下一页 到第
使用帮助 返回顶部