期刊文献+
共找到51篇文章
< 1 2 3 >
每页显示 20 50 100
基于FPGA的误码仪内核设计 被引量:3
1
作者 李斌 吴友宇 《武汉理工大学学报(信息与管理工程版)》 CAS 2005年第6期12-15,共4页
实现了一种基于FPGA的误码仪内核设计,利用FPGA芯片内部的PLL提供高速全局时钟,使用硬件编程语言VHDL编程实现了传输速率在1~20 Mb/s内分段可调,29-1位、215-1位、223-1位3种序列长度的伪随机码码型可选,可手动发送误码以及智能失同步... 实现了一种基于FPGA的误码仪内核设计,利用FPGA芯片内部的PLL提供高速全局时钟,使用硬件编程语言VHDL编程实现了传输速率在1~20 Mb/s内分段可调,29-1位、215-1位、223-1位3种序列长度的伪随机码码型可选,可手动发送误码以及智能失同步置位的误码检测等误码仪主要功能,并在最后给出了仿真结果. 展开更多
关键词 误码仪 FPGA VHDL 伪随机码
下载PDF
基于FPGA的简易误码仪设计 被引量:3
2
作者 齐志强 尚文静 何庆涛 《世界电子元器件》 2007年第7期72-74,共3页
误码率测试仪用于通信系统的可靠性检测中,是检验数据传输质量的重要工具,也是通信系统性能测试及故障诊断的重要设备。传统的误码仪基于CPLD和CPU协同工作,结构复杂。目前市场上的误码仪多为国外产品,价格比较昂贵,操作复杂,维... 误码率测试仪用于通信系统的可靠性检测中,是检验数据传输质量的重要工具,也是通信系统性能测试及故障诊断的重要设备。传统的误码仪基于CPLD和CPU协同工作,结构复杂。目前市场上的误码仪多为国外产品,价格比较昂贵,操作复杂,维修困难。但在实际通信系统产品开发中,一般并不需要很复杂的误码仪分析功能,所以设计一个简易的误码仪即可满足需求,也可根据实际需要进行升级,方便灵活、经济实用。 展开更多
关键词 误码仪 FPGA 设计 通信系统 性能测试 可靠性检测 传输质量 检验数据
下载PDF
基于NiosⅡ实现误码仪方案 被引量:1
3
作者 马金岭 陆锐敏 +1 位作者 叶淦华 谢世珺 《信息化研究》 2009年第1期37-40,共4页
针对卫星通信多信道测试的特殊要求,采用FPGA(现场可编程门阵列)和SOPC(可编程片上系统)builder下的NiosⅡ处理器完成了误码仪的设计。介绍了误码仪的工作原理,描述了误码仪的实现方法,主要给出了自相关法、循环累加法和自同步法实现本... 针对卫星通信多信道测试的特殊要求,采用FPGA(现场可编程门阵列)和SOPC(可编程片上系统)builder下的NiosⅡ处理器完成了误码仪的设计。介绍了误码仪的工作原理,描述了误码仪的实现方法,主要给出了自相关法、循环累加法和自同步法实现本地码发生器的方案,并对3种方法进行了性能比较,最后给出了NiosⅡ软件的部分相关代码。实践证明,提出的本地码发生器方案具有同步速度快、可靠性高、资源使用少等特点。 展开更多
关键词 NiosⅡ 误码仪 同步
下载PDF
在线误码仪的控制软件 被引量:1
4
作者 郝立中 《西北纺织工学院学报》 1999年第4期361-366, ,共6页
介绍用 MCS-96系列单片机构成的在线误码仪中固化的控制程序 .利用 CPU的可程控的中断挂号和屏蔽机制实现高效的进程调度 .介绍了数据处理中的若干问题 .提出的“四点二次插值”算法比普通的三点抛物插值计算量增加不多 ,精度可以提高... 介绍用 MCS-96系列单片机构成的在线误码仪中固化的控制程序 .利用 CPU的可程控的中断挂号和屏蔽机制实现高效的进程调度 .介绍了数据处理中的若干问题 .提出的“四点二次插值”算法比普通的三点抛物插值计算量增加不多 ,精度可以提高到相当于节点密度增加到 2倍的效果 .本软件用 展开更多
关键词 误码仪 插值 液晶控制器 汉字 单片机 控制程序 软件设计
下载PDF
一种误码仪控制方案的实现
5
作者 阳子轩 吴友宇 秦神祖 《武汉理工大学学报(信息与管理工程版)》 CAS 2005年第6期4-7,共4页
提出了一种基于89C 55W D的误码仪控制方案。首先介绍了误码仪M CU的整体功能要求,接着提出了系统中各芯片的选择、片选和中断分配方案以及系统的整体硬件电路设计,然后阐述了系统中各个模块完成的相应功能,最后简单地说明了系统软件设... 提出了一种基于89C 55W D的误码仪控制方案。首先介绍了误码仪M CU的整体功能要求,接着提出了系统中各芯片的选择、片选和中断分配方案以及系统的整体硬件电路设计,然后阐述了系统中各个模块完成的相应功能,最后简单地说明了系统软件设计的各任务调度。 展开更多
关键词 FPGA 误码仪 89C55WD MCU
下载PDF
基于FPGA的E3误码仪的设计与应用
6
作者 胡辽林 刘雪峰 《光通信技术》 CSCD 北大核心 2013年第1期51-52,共2页
设计了基于FPGA的E3速率等级的误码仪(E3-BER),由伪随机码产生模块、两路信号比较模块、计数模块和显示模块4部分构成,分别用E3-BER和SDH分析仪(ANT-5)测试了基于FPGA的SDH E3复用/解复用系统,在相同的测量时间内(1星期),误码均为0。测... 设计了基于FPGA的E3速率等级的误码仪(E3-BER),由伪随机码产生模块、两路信号比较模块、计数模块和显示模块4部分构成,分别用E3-BER和SDH分析仪(ANT-5)测试了基于FPGA的SDH E3复用/解复用系统,在相同的测量时间内(1星期),误码均为0。测试结果间接验证了设计的正确性。 展开更多
关键词 现场可编程门阵列 同步数字系列 E3 误码仪
下载PDF
一种基于FPGA的新型误码仪设计 被引量:1
7
作者 俞斌 《电子测试》 2008年第1期29-34,共6页
本文设计主要分为误码仪硬件电路的设计和FPGA内部功能的软件设计两个部分,硬件设计主要是FP-GA的外围电路设计,RS232电路设计,E2PROM电路设计以及按键和指示灯等;软件设计主要是实现了传输速率在1~24MHz内8种速率可调、29-1位、215-1... 本文设计主要分为误码仪硬件电路的设计和FPGA内部功能的软件设计两个部分,硬件设计主要是FP-GA的外围电路设计,RS232电路设计,E2PROM电路设计以及按键和指示灯等;软件设计主要是实现了传输速率在1~24MHz内8种速率可调、29-1位、215-1位、223-1位3种序列长度的伪随机码码型可选、可手动发送误码、误码检测以及FPGA片外资源总体控制等误码仪主要功能。 展开更多
关键词 误码仪 FPGA LCM
下载PDF
基于FPGA的误码仪设计与实现
8
作者 陈小敏 朱秋明 +1 位作者 虞湘宾 孟田珍 《中国现代教育装备》 2013年第3期4-6,共3页
误码率是评价数据传输设备及其信道工作质量的一个重要指标,而误码仪作为通信系统的可靠性测量工具,广泛用于传输设备的生产调试、检验以及日常维护维修,旨在完成一个高斯衰落信道下数字基带系统的实现及其误码率性能的测试。借助FPGA... 误码率是评价数据传输设备及其信道工作质量的一个重要指标,而误码仪作为通信系统的可靠性测量工具,广泛用于传输设备的生产调试、检验以及日常维护维修,旨在完成一个高斯衰落信道下数字基带系统的实现及其误码率性能的测试。借助FPGA实验平台,通过Verilog语言在FPGA芯片上编程以实现数字基带信号的产生、星座映射、基带成型、信道、匹配滤波、判决、解映射、误码计算等模块,并通过FPGA的数码管显示误码率。 展开更多
关键词 通信系统 误码仪 FPGA MATLAB
下载PDF
基于FPGA的误码仪IP核的设计与实现 被引量:5
9
作者 潘勇 袁慧梅 侯长宏 《仪表技术与传感器》 CSCD 北大核心 2009年第3期27-29,共3页
提出了一种基于FPGA的误码测试仪IP核的设计方案,该IP核主要由发端模块和收端模块2部分构成:发端模块主要完成序列产生和时钟产生,不同序列长度的伪随机码码型可选,丰富了测试内容,并可手动插入误码,使得测试更接近于实际环境;收端模块... 提出了一种基于FPGA的误码测试仪IP核的设计方案,该IP核主要由发端模块和收端模块2部分构成:发端模块主要完成序列产生和时钟产生,不同序列长度的伪随机码码型可选,丰富了测试内容,并可手动插入误码,使得测试更接近于实际环境;收端模块主要完成序列同步和序列比对,并可实现智能失同步检测和置位,提高了误码仪的自愈能力。该误码仪IP核具有使用简单、测试内容丰富、误码测试结果准确等特点,最后给出了仿真结果。 展开更多
关键词 误码仪 FPGA M序列 位同步 序列同步
下载PDF
基于LabView的误码仪研究与实现
10
作者 刘月红 陆绮荣 《计算机光盘软件与应用》 2011年第12期110-110,共1页
基于LabView简明、直观、易用的图形编程方式,实现了误码仪系统,系统分为发送端和接收端两部分,均由LabView实现系统的构建,其中发送端设备主要完成供误码率测试的序列与时钟的产生,接收端设备的功能是完成序列的同步与比对。基于L... 基于LabView简明、直观、易用的图形编程方式,实现了误码仪系统,系统分为发送端和接收端两部分,均由LabView实现系统的构建,其中发送端设备主要完成供误码率测试的序列与时钟的产生,接收端设备的功能是完成序列的同步与比对。基于LabView的误码仪具有使用简单,成本较低,可灵活更改测试参数与测试内容等特点,在实际的应用中取得了较好的效果。 展开更多
关键词 误码仪 虚拟仪器 LABVIEW 码速率.
下载PDF
可编程误码仪的设计与实现 被引量:2
11
作者 胡吉铭 张晓林 冯文全 《电子测量技术》 2006年第5期112-114,共3页
误码仪(biterrorratetester,BERT)是数字通信系统性能测试的重要仪器。本文设计的可编程误码仪主要由发端设备和收端设备两部分构成:发端设备主要完成序列产生和时钟产生;收端设备主要完成序列同步和序列比对。误码仪选用了单片机和FPG... 误码仪(biterrorratetester,BERT)是数字通信系统性能测试的重要仪器。本文设计的可编程误码仪主要由发端设备和收端设备两部分构成:发端设备主要完成序列产生和时钟产生;收端设备主要完成序列同步和序列比对。误码仪选用了单片机和FPGA作为核心器件,提高它的再升级和可移植能力。本文设计的可编程误码仪具有使用简单、测试内容丰富、误码测试结果显示直观、准确等特点。 展开更多
关键词 误码 误码仪 码速率 发端设备 收端设备
下载PDF
基于FPGA的高速误码仪接收端设计方案的探讨 被引量:4
12
作者 杨飞 张尔扬 《电子工程师》 2006年第4期3-4,共2页
基于FPGA(现场可编程门阵列)的高速误码仪相对于传统的误码仪的优点是:基于FPGA芯片,在硬件平台固定的情况下,可对软件方案进行灵活修改以实现不同的功能;可利用FPGA芯片的高速处理能力实现高速数据流的误码率测试。文中介绍了高速误码... 基于FPGA(现场可编程门阵列)的高速误码仪相对于传统的误码仪的优点是:基于FPGA芯片,在硬件平台固定的情况下,可对软件方案进行灵活修改以实现不同的功能;可利用FPGA芯片的高速处理能力实现高速数据流的误码率测试。文中介绍了高速误码仪接收端的主要功能和接口,重点介绍了2种基于FPGA的高速误码仪接收端的设计方案,并比较了2种方案的优缺点。 展开更多
关键词 分接系统 FPGA 高速误码仪
下载PDF
DDS技术在VXI总线误码仪模块中的应用 被引量:1
13
作者 李少东 《电子质量》 2006年第6期8-9,共2页
本文介绍了运用直接数字频率合成(DDS)技术产生50Hz~2.048MHz连续时钟源的设计方案。该设计已应用在VXI总线误码仪模块设计中,并取得了理想结果,各项指标能够满足VXI总线误码仪模块的技术要求。
关键词 DDS技术 连续时钟 VXI总线误码仪
下载PDF
基于FPGA的两种误码仪实现方法设计 被引量:2
14
作者 刘浩 刘睿强 卢静 《微型机与应用》 2014年第15期50-53,共4页
设计了一种基于EPF10KRC208-4的误码仪,该设计充分利用了FPGA强大的可编程能力和丰富的资源,以及软件开发平台QuartusⅡ的完备功能,具有体积小巧、携带方便、测量精确等优点。其核心部分分别采用了逐位比较法和移位寄存器法,并在仿真过... 设计了一种基于EPF10KRC208-4的误码仪,该设计充分利用了FPGA强大的可编程能力和丰富的资源,以及软件开发平台QuartusⅡ的完备功能,具有体积小巧、携带方便、测量精确等优点。其核心部分分别采用了逐位比较法和移位寄存器法,并在仿真过程中设置了多种误码情况进行对比。最后,根据仿真结果分析了方案的可行性和两种方法的优缺点。 展开更多
关键词 误码仪 M 序列
下载PDF
FPGA实现误码仪和逻辑分析仪
15
作者 姚力 刘海丹 《移动通信》 2012年第S1期100-102,共3页
文章介绍了利用自制硬件平台实现误码测试仪和逻辑分析仪功能的软硬件原理及实现过程,将这两种功能同时嵌入到设备中,方便在各种场合测试产品通信性能,同时还可以作为独立的误码仪测试其他设备的通信质量。
关键词 FPGA 误码仪 逻辑分析仪
下载PDF
误码仪的发展及计量分析 被引量:3
16
作者 杜亮 《计测技术》 2017年第S1期242-245,共4页
详细介绍了目前国内误码仪的发展现状及其主要参数内参考时钟频率、内参考时钟上升、下降时间、内参考时钟信号输出固有抖动、数据输出信号幅度、数据输出信号上升、下降时间、数据输出眼图等主要参数的计量分析。
关键词 误码仪 抖动 眼图 误码 串行数据
下载PDF
是德科技推出高性能PAM4误码仪及100 GHz采样示波器模块
17
《电子测量与仪器学报》 CSCD 北大核心 2017年第2期308-308,共1页
德科技在2017年Design con展示针对400G/PAM-4设计的最新测试和测量技术,包括高度综合的M8040A64 Gbaud高性能比特误码率测试仪、新数据分析软件功能,100 GHz带宽的采样示波器模块,现这一系列产品已正式推出。M8040A高性能PAM4误码仪其... 德科技在2017年Design con展示针对400G/PAM-4设计的最新测试和测量技术,包括高度综合的M8040A64 Gbaud高性能比特误码率测试仪、新数据分析软件功能,100 GHz带宽的采样示波器模块,现这一系列产品已正式推出。M8040A高性能PAM4误码仪其中Keysight M8040A是一款高度综合的比特误码率测试仪,适用于物理层表征和一致性测试它支持PAM-4和NRZ信号。 展开更多
关键词 采样示波器 误码仪 GHZ 性能 科技 模块 比特误码 一致性测试
下载PDF
是德科技M8000系列误码仪再添新军。助力400GbE研发
18
《计算机测量与控制》 2016年第6期330-330,共1页
是德科技公司(NYSE:KEYS)日前推出M8040A高性能比特误码率测试仪(BERT),它能够测试高达6/1GBaud的PAM-4和NRZ器件。验证工程师和研发工程师需要对下一代数据中心互联的物理层接收机进行表征,简化的测试连接,可重复、准确的结... 是德科技公司(NYSE:KEYS)日前推出M8040A高性能比特误码率测试仪(BERT),它能够测试高达6/1GBaud的PAM-4和NRZ器件。验证工程师和研发工程师需要对下一代数据中心互联的物理层接收机进行表征,简化的测试连接,可重复、准确的结果将使其获益良多。 展开更多
关键词 M8000 科技 研发 误码仪 比特误码 测试仪 数据中心 工程师
下载PDF
是德科技推出高性能PAM4误码仪及100GHz采样示波器模块
19
《电子测量技术》 2017年第2期192-192,共1页
2017年2月23日,北京——是德科技在2017年Design con展示针对400G/PAM-4设计的最新测试和测量技术,包括高度综合的M8040A64Gbaud高性能比特误码率测试仪、新数据分析软件功能,100GHz带宽的采样示波器模块,现这一系列产品已正式推出。
关键词 采样示波器 模块 性能 科技 误码仪 比特误码 测量技术 软件功能
下载PDF
是德科技推出高性能PAM4误码仪及100GHz采样示波器模块
20
《国外电子测量技术》 2017年第2期109-110,共2页
是德科技在2017年Designcon展示针对400G/PAM-4设计的最新测试和测量技术,包括高度综合的M8040A64Gbaud高性能比特误码率测试仪、新数据分析软件功能,100GHz带宽的采样示波器模块,现这一系列产品已正式推出。
关键词 采样示波器 模块 性能 科技 误码仪 比特误码 测量技术 软件功能
下载PDF
上一页 1 2 3 下一页 到第
使用帮助 返回顶部