期刊文献+
共找到3,339篇文章
< 1 2 167 >
每页显示 20 50 100
云服务器虚拟机通信串口数据安全性监控仿真
1
作者 刘芳 曹进克 《计算机仿真》 北大核心 2023年第8期174-177,190,共5页
信息在云计算系统中扮演着重要角色,同时数据的安全也成为了领域内的热点问题之一,所以提出云服务器虚拟机通信串口数据安全性监控方法。为了保障串口通信的安全性,设计了包含初始化、用户管理、驱动程序和数据处理四部分安全技术模块,... 信息在云计算系统中扮演着重要角色,同时数据的安全也成为了领域内的热点问题之一,所以提出云服务器虚拟机通信串口数据安全性监控方法。为了保障串口通信的安全性,设计了包含初始化、用户管理、驱动程序和数据处理四部分安全技术模块,对选择合适的数据点实施拦截,并对用户数据进行加密与解密处理。然后对身份信息采用模糊身份机制处理,依据属性之间的关联来确定数据与身份的映射关系,并结合某一属性划分出数据用户身份信息的集合,从而得出该属性的熵。最后基于云服务平台的数据存储载体,以虚拟化可信平台模块作为基础,进行虚拟机模块迁移的安全性研究。实验结果表明,所提方法尽管增加了一些密文开销,但在允许的范围内可以较好的对通信数据进行安全性的保护。 展开更多
关键词 串口通信 安全技术模块 模糊身份机制 云服务 虚拟机模块
下载PDF
带有通信串口的CS5460电能表
2
作者 王鸿钰 颜重光 《集成电路应用》 2001年第5期69-71,共3页
介绍CS5460 IC的特性及其构成的单相电子电能表电路及通信串口的工作原理。
关键词 电能表 集成电路 通信串口 CS5460
下载PDF
通信串口在远方备自投上的应用 被引量:4
3
作者 陶军 李卫国 洪天 《安徽电力职工大学学报》 2003年第3期15-18,共4页
提出了一种利用电力通信系统现有串口技术设备 ,实现电网内多个变电站之间电源互为备用的远方备自投的技术方案。该方案将通信串口技术、数字传输技术、信号转换技术及备自投技术应用于远方变电站 ,实现远方备自投功能 ,满足快速、可靠... 提出了一种利用电力通信系统现有串口技术设备 ,实现电网内多个变电站之间电源互为备用的远方备自投的技术方案。该方案将通信串口技术、数字传输技术、信号转换技术及备自投技术应用于远方变电站 ,实现远方备自投功能 ,满足快速、可靠的高质量供电要求 ,同时也拓展了电力系统通信的业务应用范围。 展开更多
关键词 通信串口 电力通信系统 变电站 电源 远方备自投 系统结构 工作原理 接口电路 应用
下载PDF
三种通信串口及其应用
4
作者 徐剑锋 《农村电气化》 北大核心 2002年第2期23-23,共1页
关键词 通信串口 RS232 局域网 组网
下载PDF
自动气象站监控计算机通信串口故障数据处理及分析
5
作者 陈瑜琨 《黑龙江科技信息》 2014年第15期75-75,共1页
针对自动气象站监控计算机通信串口故障数据处理技术进行了论述。
关键词 自动气象站 计算机通信串口故障 数据处理
下载PDF
基于FPGA设计的含有多路通信串口的电压采集系统
6
作者 叶沉 《中国新通信》 2017年第18期52-52,共1页
电压采集系统是在工业自动化应用中常见的一种数据采集系统,被广泛应用在工业自动化控制和无线广播发射机控制等领域。基于FPGA设计的含有多路通信串口的电压采集系统是以FPGA为核心器件,通过控制模数转换芯片对模拟电压进行采样,将采... 电压采集系统是在工业自动化应用中常见的一种数据采集系统,被广泛应用在工业自动化控制和无线广播发射机控制等领域。基于FPGA设计的含有多路通信串口的电压采集系统是以FPGA为核心器件,通过控制模数转换芯片对模拟电压进行采样,将采样结果通过多路串口传输到多个上位机的一种数据采集系统。该系统通过在FPGA内部复用功能模块的方式,在一个系统中提供多达八个通信串口资源,解决了在需要多个串口同时上传数据的情况下,有些电压采集系统通信串口数量不足的问题。 展开更多
关键词 FPGA 电压采集 模数转换 多路通信串口
下载PDF
跨平台访问端传感网络串口通信多线程实现
7
作者 许弟建 吴云君 孙韬 《传感技术学报》 CAS CSCD 北大核心 2024年第1期130-135,共6页
串口是外部串行设备与计算机之间的关键数据传输通道,由于跨平台访问端的传感器数据来自不同平台,具有显著独立性,融合难度较大,直接影响多线程通信效率。提出一种针对跨平台访问端传感网络的串口通信多线程实现方法。构建跨平台访问端... 串口是外部串行设备与计算机之间的关键数据传输通道,由于跨平台访问端的传感器数据来自不同平台,具有显著独立性,融合难度较大,直接影响多线程通信效率。提出一种针对跨平台访问端传感网络的串口通信多线程实现方法。构建跨平台访问端,采用统计概率置信度算法,修正或剔除传感网络数据中的异常值。从时间相关性和空间相关性,完成传感网络的数据融合。依据信标时序补偿网络时钟同步法、父子链路时序轮转调度法,分配多线程执行信息传输,实现跨平台访问端传感网络串口多线程通信。实验表明:当线程数量由1增加至16时,在低网络流量和高网络流量负载情况下,所提方法的传感网络延迟可控制在0.53 ms以内。且在相同传输错漏率下,所提方法的进程平均超限步数始终更低。 展开更多
关键词 传感网络 跨平台访问端 串口通信 多线程 时空关联性 时序补偿
下载PDF
一种基于长短帧的串口实时通信方法
8
作者 魏烈祥 汪天照 +3 位作者 廖辉 文韬 阳琴 张慧芹 《自动化应用》 2024年第6期179-181,184,共4页
介绍了一种基于长短帧的串口实时通信方法,将上位机、下位机通信协议设计成短帧和长帧2种不同的帧结构,短帧为1个字节,长帧为多个字节。长帧的帧头和帧尾与短帧一起进行编码。基于短帧的通信是实时的,基于长帧的通信是非实时的。采用这... 介绍了一种基于长短帧的串口实时通信方法,将上位机、下位机通信协议设计成短帧和长帧2种不同的帧结构,短帧为1个字节,长帧为多个字节。长帧的帧头和帧尾与短帧一起进行编码。基于短帧的通信是实时的,基于长帧的通信是非实时的。采用这种帧结构设计方法,在实时数据量不大的情况下,提高了串口通信的数据吞吐率。从帧结构设计到软件实现及实例论证了该实时通信方法的可行性,完成了基于该方法的核检测仪器γ能谱仪上的通信应用。 展开更多
关键词 长短帧 Γ能谱仪 串口通信
下载PDF
触摸屏与远方仪表的串口通信应用研究
9
作者 莫瑞强 邱立民 《通讯世界》 2024年第6期13-15,共3页
触摸屏作为一种简单、便捷的人机交互系统,在军事指挥、工业控制、公共信息查询、多媒体教学等领域得到广泛应用。而在日常操作过程中,触摸屏要想实现变频器测试系统的全部功能,凸显触控技术的先进性与实用性,必须编制一套具有可行性的... 触摸屏作为一种简单、便捷的人机交互系统,在军事指挥、工业控制、公共信息查询、多媒体教学等领域得到广泛应用。而在日常操作过程中,触摸屏要想实现变频器测试系统的全部功能,凸显触控技术的先进性与实用性,必须编制一套具有可行性的触摸屏与远方仪表的串口通信设计方案,并通过自由通信协议对触控系统进行有效控制。基于此,对触摸屏与远方仪表进行概述,提出触摸屏串口通信软件设计思路,重点围绕触摸屏与远方仪表的串口通信设计方案展开论述,旨在为通信参数的合理设置提供有力参考。 展开更多
关键词 触摸屏 远方仪表 串口通信协议
下载PDF
基于EDA技术的常用串口通信总线接口逻辑设计 被引量:1
10
作者 杨奇 刘红 李斌 《现代电子技术》 2023年第7期22-26,共5页
新兴技术发展对通信总线接口性能提出了更高的要求,再加之接口之间交互行为的剧增,常规通信总线接口资源占用率过高、传输速度过低等缺陷逐渐显现。为了改善上述情况,提出基于EDA技术的常用串口通信总线接口逻辑设计。引入EDA技术搭建... 新兴技术发展对通信总线接口性能提出了更高的要求,再加之接口之间交互行为的剧增,常规通信总线接口资源占用率过高、传输速度过低等缺陷逐渐显现。为了改善上述情况,提出基于EDA技术的常用串口通信总线接口逻辑设计。引入EDA技术搭建接口逻辑设计框架,以此为基础,选取适当的实现器件——FPGA控制器,设计具体数字接口(RS 232接收模块、I2C接收模块与SPI接收模块),并设计接口协议层(CRC校验、NRZI解码及其去除位填充),通过上述过程完成了常用串口通信总线接口的逻辑设计。实验数据表明,所设计接口资源占用率数值范围为19.58%~30.12%,传输速率最大值为48 Mb/s,达到预期接口设计目标。 展开更多
关键词 接口设计 串口通信总线 EDA技术 接口逻辑设计 FPGA控制器 接口协议层设计
下载PDF
基于FPGA的异步串口通信波特率自动检测技术 被引量:1
11
作者 陈虎 《应用科技》 CAS 2023年第2期60-65,共6页
针对常规串口通信波特率检测效率低、软件移植性差,甚至占用中央处理器(CPU)硬件资源等问题,本文基于现场可编程逻辑门阵列(FPGA)技术,结合Verilog HDL语言设计了一种异步串口通信波特率自动检测方法,可实现快速、准确检测异步串口通信... 针对常规串口通信波特率检测效率低、软件移植性差,甚至占用中央处理器(CPU)硬件资源等问题,本文基于现场可编程逻辑门阵列(FPGA)技术,结合Verilog HDL语言设计了一种异步串口通信波特率自动检测方法,可实现快速、准确检测异步串口通信的波特率值。通过统计异步串口通信中每个高低电平脉冲宽度,并比较脉冲宽度的数值,得到异步串口通信过程中最小的脉冲宽度。利用不同波特率的每个比特位宽与时间的关系,计算最小电平脉宽对应的波特率。仿真和测试结果表明,FPGA通过接收异步串口通信的数据,能实现异步串口通信波特率的自动检测,最大误差不超过1%,不依赖CPU,具有高效和准确的特点。 展开更多
关键词 异步串口通信 波特率 比特率 现场可编程逻辑门阵列 Verilog HDL语言 位宽 脉冲宽度
下载PDF
基于Qt的串口通信实验
12
作者 曹德安 《软件》 2023年第2期116-118,共3页
利用Qt编写简单的GUI(Graphical User Interface)串口通信程序,与Proteus软件以及实际开发板上的51单片机进行了通信实验,验证了程序的正确性。该程序代码简单,容易实现,能极大的激发学生学习Qt与单片机的兴趣,也为进一步利用Qt编写功... 利用Qt编写简单的GUI(Graphical User Interface)串口通信程序,与Proteus软件以及实际开发板上的51单片机进行了通信实验,验证了程序的正确性。该程序代码简单,容易实现,能极大的激发学生学习Qt与单片机的兴趣,也为进一步利用Qt编写功能强大的上位机程序打下基础。 展开更多
关键词 Qt上位机 单片机 串口通信
下载PDF
水电站国产化改造串口通信解决方案探讨
13
作者 汪华强 储玉玲 《水电站机电技术》 2023年第12期27-28,共2页
随着水电站自动化系统国产化改造的快速推进,发电企业在保障供电的基础上,将国产化改造对电力生产的影响降至最低,努力解决新老系统并存通信,以及新旧设备间数据交互的问题,尤其是电站内已广泛使用的串口通信与新系统的连接。本文详细... 随着水电站自动化系统国产化改造的快速推进,发电企业在保障供电的基础上,将国产化改造对电力生产的影响降至最低,努力解决新老系统并存通信,以及新旧设备间数据交互的问题,尤其是电站内已广泛使用的串口通信与新系统的连接。本文详细阐述了一种基于IP以太网的组网方案,利用TCP/IP传输的优势,打通串口通信与网络通信间的融合壁垒,提供灵活易行且稳定的数据传输服务,保证了串口设备与通信主机间的通信质量,取得了良好的效果。 展开更多
关键词 国产化改造 NPORT 串口通信 串口联网 数据传输
下载PDF
基于EMC的网关机串口通信可靠性优化研究
14
作者 苏乾辉 李宁 +1 位作者 张留杰 张锋 《电工技术》 2023年第7期154-156,159,共4页
数据通信网关机在运行现场易受电磁干扰影响,从而引起通信中断,威胁电力系统的安全稳定。为了满足现场对信息传输的要求,基于电磁兼容试验,结合对比分析方法,设计了网关机RS-232串口通信的优化方案,分析了实现机制,提出了针对性优化措施... 数据通信网关机在运行现场易受电磁干扰影响,从而引起通信中断,威胁电力系统的安全稳定。为了满足现场对信息传输的要求,基于电磁兼容试验,结合对比分析方法,设计了网关机RS-232串口通信的优化方案,分析了实现机制,提出了针对性优化措施,并进行了优化验证,对于提高电力信息传输的可靠性和准确性具有重要意义。 展开更多
关键词 串口通信 电磁兼容试验 可靠性
下载PDF
基于串口通信的压力仪表PLC自动化调试方法
15
作者 张国伟 《数字通信世界》 2023年第11期94-96,共3页
针对PLC自动化调试易受异步通信复杂性影响,导致压力仪表检定误差较高的问题,文章提出了一种基于串口通信的压力仪表PLC自动化调试方法。利用串口通信获取连续串行数据,通过搭建压力仪表PLC自动化调试台,设计压力仪表自动调试装置,实现... 针对PLC自动化调试易受异步通信复杂性影响,导致压力仪表检定误差较高的问题,文章提出了一种基于串口通信的压力仪表PLC自动化调试方法。利用串口通信获取连续串行数据,通过搭建压力仪表PLC自动化调试台,设计压力仪表自动调试装置,实现压力仪表PLC自动化调试。实验结果表明,该方法调试效果较好,调试后的检定误差较低,有一定的应用价值,对降低压力仪表的使用风险具有一定参考价值。 展开更多
关键词 串口通信 压力仪表 PLC调试 自动化调试
下载PDF
基于串口通信的DSP在线升级方案优化研究
16
作者 冯锦 《计算机应用文摘》 2023年第10期98-100,共3页
随着技术进步,DSP在线升级效果得到了优化,现实中为完整解决DSP在线升级固有的技术问题,降低技术升级失败的概率,合理保障在线升级完美的实现,需巧借串口通信协议,对现有的在线升级技术进行更新,以保障软件应用的稳定性。文章在原有方... 随着技术进步,DSP在线升级效果得到了优化,现实中为完整解决DSP在线升级固有的技术问题,降低技术升级失败的概率,合理保障在线升级完美的实现,需巧借串口通信协议,对现有的在线升级技术进行更新,以保障软件应用的稳定性。文章在原有方案基础上设计了基于CAN串口通信的高效率升级优化措施,并描述了新软件的逻辑分配原则及软硬件设计相关内容,借助科学设计的思路对启动执行流程进行了完善,完善期间加入了应答式通信以及高精准度的校验模块功能,以保障系统应用的合理性。结合检测结果可知,基于串口通信的DSP若要实现在线升级,满足小型化设计需求,则需应用优质的CAN串口通信外接方案。具体来讲,这种合理的升级改造方案,无限拓宽了DSP的应用范畴,值得深度研究与推广。同时,基于串口通信的DSP在线升级研究成果,可提供有益的参考。 展开更多
关键词 DSP在线升级 软硬件设计 串口通信 升级方案优化
下载PDF
基于Docker容器化技术的高速串口通信数据安全储存方法
17
作者 李小龙 《通信电源技术》 2023年第24期162-164,共3页
为了提高高速串口通信数据储存的安全性与可靠性,降低数据丢失的风险,引入了Docker容器化技术,并研究了基于该技术的高速串口通信数据安全储存方法。利用Docker容器化技术,设计适用于高速串口通信数据的虚拟储存空间,以映射海量通信数... 为了提高高速串口通信数据储存的安全性与可靠性,降低数据丢失的风险,引入了Docker容器化技术,并研究了基于该技术的高速串口通信数据安全储存方法。利用Docker容器化技术,设计适用于高速串口通信数据的虚拟储存空间,以映射海量通信数据。同时,基于混合加解密原理,建立高速串口通信数据加解密机制,并设计密钥,对通信数据进行全方位的加解密处理,以确保虚拟存储空间的安全性。实验测试结果表明,应用提出的储存方法后,高速串口通信数据储存数据的丢失量不超过0.1 GB,能够最大限度地确保通信数据储存的安全性。 展开更多
关键词 Docker容器化技术 高速串口通信 数据安全 数据储存
下载PDF
基于北斗卫星通信系统的船载终端串口通信
18
作者 李宏豆 杜美净 崔冬睿 《无线互联科技》 2023年第20期1-3,共3页
北斗船载终端可提供船舶定位报告、北斗短报文通信、应急报警、船舶沉没预警等多种功能。该系统可以在落入水中后准确地控制释放深度,可以对船只进行导航和定位,可以通过该系统对船只进行定时报告,从而达到对船只的位置进行预警的目的... 北斗船载终端可提供船舶定位报告、北斗短报文通信、应急报警、船舶沉没预警等多种功能。该系统可以在落入水中后准确地控制释放深度,可以对船只进行导航和定位,可以通过该系统对船只进行定时报告,从而达到对船只的位置进行预警的目的。装备了北斗卫星的船只,不但能够增强船只与岸边之间信息交流的实时性与客观性,为船只的航行管理提供有力的技术支持,还能够在恶劣的天气、复杂的航道条件下,对船只的位置进行实时监控,从而获得准确的航行信息。文章主要研究了船载终端系统的主要功能,串口通信的关键技术以及相关的数据处理,从而提高系统的定位精度,并克服了没有基站的问题,提高了系统的可靠性,扩大了信号的覆盖范围。 展开更多
关键词 北斗卫星通信系统 船载终端 串口通信
下载PDF
串口数据监控小助手的设计及应用
19
作者 张超辉 《电脑知识与技术》 2024年第18期53-55,共3页
文章设计了一款串口数据监控小助手,该小助手可以实时监控温湿度、人体和光照传感器的数据,并将其可视化展示。同时,用户还可以通过按键交互来控制风扇、灯等执行器。下位机系统只须按照规定的格式将数据发送给串口即可。该系统可应用... 文章设计了一款串口数据监控小助手,该小助手可以实时监控温湿度、人体和光照传感器的数据,并将其可视化展示。同时,用户还可以通过按键交互来控制风扇、灯等执行器。下位机系统只须按照规定的格式将数据发送给串口即可。该系统可应用于环境监测系统的上位机系统,也可以应用于单片机技术应用等课程教学中的传感器数据展示端。 展开更多
关键词 串口通信 传感器 实时监控 软件设计
下载PDF
蓝牙模块串口通信的设计与实现 被引量:88
20
作者 张群 杨絮 +1 位作者 张正言 陆起涌 《实验室研究与探索》 CAS 北大核心 2012年第3期79-82,共4页
蓝牙技术是一种适用于近距离的无线通信技术,具有安全性高和受干扰性小的特点。通过单片机对蓝牙模块进行开发,建立蓝牙模块与蓝牙手机之间的通信,实现蓝牙手机对单片机和蓝牙模块系统的控制。同时,蓝牙模块具有与特定蓝牙设备绑定的功... 蓝牙技术是一种适用于近距离的无线通信技术,具有安全性高和受干扰性小的特点。通过单片机对蓝牙模块进行开发,建立蓝牙模块与蓝牙手机之间的通信,实现蓝牙手机对单片机和蓝牙模块系统的控制。同时,蓝牙模块具有与特定蓝牙设备绑定的功能,将其运用在嵌入式安全系统中,可大大提高系统的安全性和稳定性。 展开更多
关键词 串口通信 蓝牙协议 蓝牙固件 HCI接口
下载PDF
上一页 1 2 167 下一页 到第
使用帮助 返回顶部