期刊文献+
共找到115篇文章
< 1 2 6 >
每页显示 20 50 100
基于机器学习的多输入切换效应的统计静态时序分析方法
1
作者 郭静静 宗璟宜 +1 位作者 查佩文 蔡志匡 《微电子学》 CAS 北大核心 2024年第3期458-467,共10页
静态时序分析工具在超大规模集成电路应用中被广泛使用,其精度依赖于每个门的延时模型。静态时序分析工具使用的时序库通常只考虑单输入切换(SIS)导致的引脚到引脚延时,而多输入切换(MIS)导致的延时变化在高时钟频率和先进工艺节点上变... 静态时序分析工具在超大规模集成电路应用中被广泛使用,其精度依赖于每个门的延时模型。静态时序分析工具使用的时序库通常只考虑单输入切换(SIS)导致的引脚到引脚延时,而多输入切换(MIS)导致的延时变化在高时钟频率和先进工艺节点上变得更加显著。在考虑统计静态时序分析时,MIS效应对其影响比对常规静态时序分析更大。为了研究MIS效应对电路统计时序的影响,文章提出了一种基于机器学习的MIS效应的统计静态时序分析方法。该方法考虑了不同条件下MIS和SIS的统计延时差异,并基于SIS统计延时模型建立了MIS统计延时模型。经基准电路测试,结果表明,该方法对应延时分布的均值、标准差的相对误差分别不超过1.61%和3.94%,证明该方法具有较高的准确度。 展开更多
关键词 机器学习 多输入切换 统计静态时序分析 统计延时模型
下载PDF
敏捷设计中基于机器学习的静态时序分析方法综述 被引量:1
2
作者 贺旭 王耀 +4 位作者 傅智勇 李暾 屈婉霞 万海 张吉良 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2023年第4期640-652,共13页
随着集成电路规模越来越大,设计变得越来越复杂.为了有效地提升设计生产率,芯片敏捷设计受到越来越广泛的重视.在芯片RTL-to-GDSII设计流程中,敏捷设计方法需要广泛借助机器学习技术,寻求“无人参与”的解决方案.时序性能作为芯片的重... 随着集成电路规模越来越大,设计变得越来越复杂.为了有效地提升设计生产率,芯片敏捷设计受到越来越广泛的重视.在芯片RTL-to-GDSII设计流程中,敏捷设计方法需要广泛借助机器学习技术,寻求“无人参与”的解决方案.时序性能作为芯片的重要性能指标,需要在RTL-to-GDSII设计的各个流程中进行静态时序分析.快速、准确、可靠的时序预测,可以将Sign-Off的时序性能前馈到早期设计流程中,指导早期设计的时序优化和时序收敛,减少芯片设计的迭代次数和迭代周期.文中给出敏捷设计中时序优化的流程框架,详细地梳理了RTL-to-GDSII设计流程中基于机器学习的时序分析研究现状;并从数据准备、问题建模、实用性以及通用性等多方面,探讨了敏捷设计中基于机器学习方法进行时序预测的挑战. 展开更多
关键词 敏捷设计 电子设计自动化 静态时序分析 机器学习
下载PDF
统计静态时序分析方法综述
3
作者 郭静静 赵东敏 蔡志匡 《固体电子学研究与进展》 CAS 北大核心 2023年第4期316-323,共8页
随着纳米技术的进步,工艺参数波动给电路性能带来的不确定性愈发明显,成为影响集成电路设计的主要因素之一。为了对先进工艺下超大规模集成电路更准确地进行时序分析,现代计算机辅助设计工具通过概率分布来表征电路的时序行为,并提出了... 随着纳米技术的进步,工艺参数波动给电路性能带来的不确定性愈发明显,成为影响集成电路设计的主要因素之一。为了对先进工艺下超大规模集成电路更准确地进行时序分析,现代计算机辅助设计工具通过概率分布来表征电路的时序行为,并提出了统计静态时序分析(Statistical static timing analysis,SSTA)的方法。为了提高SSTA的速度,各种各样的方法及模型被陆续提出来。本文对快速蒙特卡洛仿真法、离散数值法、查找表法、解析法这四类SSTA的加速方法展开研究并对其性能进行分析,介绍了SSTA最新的研究方向并对各种时序分析方法进行总结展望。 展开更多
关键词 统计静态时序分析 快速蒙特卡洛仿真法 离散数值法 查找表法 解析法
下载PDF
RapidIO交换芯片的静态时序约束设计 被引量:1
4
作者 张丽 沈剑良 李沛杰 《现代电子技术》 2023年第4期1-6,共6页
静态时序分析是目前通用的芯片时序验证的重要方法,其依赖于时序模型和时序约束。时序约束是检验设计电路时序的准则,好的时序约束可以正确地体现芯片的设计需求。针对RapidIO交换芯片中存在的多时钟域构成、高速通道的高速时钟频率要求... 静态时序分析是目前通用的芯片时序验证的重要方法,其依赖于时序模型和时序约束。时序约束是检验设计电路时序的准则,好的时序约束可以正确地体现芯片的设计需求。针对RapidIO交换芯片中存在的多时钟域构成、高速通道的高速时钟频率要求,2x/4x绑定模式下多lane时钟同步等的特殊要求,以及较多的跨异步时钟处理存在的问题,文中提出一种多分组的全芯片时序约束,通过设置时钟定义、时钟组定义、端口延迟定义、时序例外和虚假路径等,以及修正和优化必要的setup time/hold time违例,解决RapidIO交换芯片静态时序分析中的时序违例等时序问题,实现时序收敛的目的。实验验证及流片测试结果表明,所有时序路径均满足时序要求,RapidIO芯片的时序约束设计正确、完备。 展开更多
关键词 静态时序分析 时序约束 RapidIO交换芯片 时序收敛 时钟同步 时钟约束
下载PDF
一种数据存储SoC芯片的静态时序约束设计
5
作者 王涛 赵启林 《单片机与嵌入式系统应用》 2023年第8期8-10,14,共4页
静态时序分析主要依赖于时序模型和时序约束,是数字芯片时序验证的重要方法,其中时序约束是用来描述设计人员对时序的要求,如时钟频率、输入/输出延迟等。正确的时序约束可以缩短芯片设计周期,更快更好地完成静态时序分析。针对一款数... 静态时序分析主要依赖于时序模型和时序约束,是数字芯片时序验证的重要方法,其中时序约束是用来描述设计人员对时序的要求,如时钟频率、输入/输出延迟等。正确的时序约束可以缩短芯片设计周期,更快更好地完成静态时序分析。针对一款数据存储SoC芯片中的多时钟域异步设计要求,以及如何正确处理时序约束存在的问题,提出一种多分组异步时钟的全芯片时序约束,采用虚假路径、多时钟域分组、禁用单个寄存器多时钟分析设置等方法修复和优化设计规则、建立时间和保持时间违例,解决SoC存储芯片静态时序分析中的时序问题,保证所有时序路径正常满足时序逻辑功能要求,完成时序收敛,达到签核标准。 展开更多
关键词 静态时序分析 时序约束 SOC芯片 时序收敛
下载PDF
SoC静态时序分析中时序约束策略的研究及实例 被引量:10
6
作者 谈晓婷 付宇卓 谢凯年 《微电子学与计算机》 CSCD 北大核心 2006年第4期64-67,共4页
文章简要描述了静态时序分析的原理,并在一款音频处理SoC芯片的验证过程中,详细介绍了针对时钟定义、多时钟域、端口信号等关键问题的时序约束策略。实践结果表明,静态时序分析很好地满足了该芯片的验证要求,而且比传统的动态验证效率... 文章简要描述了静态时序分析的原理,并在一款音频处理SoC芯片的验证过程中,详细介绍了针对时钟定义、多时钟域、端口信号等关键问题的时序约束策略。实践结果表明,静态时序分析很好地满足了该芯片的验证要求,而且比传统的动态验证效率更高。 展开更多
关键词 SOC设计 静态时序分析 静态验证 时序约束
下载PDF
静态时序分析方法的基本原理和应用 被引量:6
7
作者 简贵胄 葛宁 冯重熙 《计算机工程与应用》 CSCD 北大核心 2002年第14期115-116,221,共3页
介绍了用于ASIC设计验证的静态时序分析方法的基本原理,并在此基础上给出了将该方法用于优化和验证可编程逻辑器件的设计实例。
关键词 静态时序分析方法 数字系统设计 可编程逻辑器件 专用集成电路
下载PDF
双频双模导航基带芯片的静态时序分析 被引量:4
8
作者 常江 张晓林 苏琳琳 《微电子学》 CAS CSCD 北大核心 2011年第3期350-353,358,共5页
针对一款双频双模导航基带芯片的ASIC设计,提出一种多异步时钟域的时序约束设计方法,并通过设置虚假路径、多周期路径和修正建立保持时间违例的方法,优化了时序。最终使芯片满足系统时序要求,通过了静态时序验证,为芯片流片提供了可靠... 针对一款双频双模导航基带芯片的ASIC设计,提出一种多异步时钟域的时序约束设计方法,并通过设置虚假路径、多周期路径和修正建立保持时间违例的方法,优化了时序。最终使芯片满足系统时序要求,通过了静态时序验证,为芯片流片提供了可靠保证。 展开更多
关键词 双频双模 基带芯片 静态时序验证 时序优化
下载PDF
静态时序分析在数字集成电路设计中的应用 被引量:3
9
作者 黎声华 邹雪城 莫迟 《电子技术应用》 北大核心 2003年第8期64-67,共4页
介绍了静态时序分析在数字集成电路设计中的应用,并以100M以太网卡芯片设计为例,具体描述了以太网卡芯片设计中的静态时序分析流程及其时序问题。
关键词 静态时序 数字集成电路 以太网卡芯片 时钟 结构
下载PDF
静态时序分析及其在IC设计中的应用 被引量:5
10
作者 张富彬 HO Ching-yen 彭思龙 《电子器件》 EI CAS 2006年第4期1329-1333,共5页
讨论了静态时序分析算法及其在IC设计中的应用。首先,文章讨论了静态时序分析中的伪路径问题以及路径敏化算法,分析了影响逻辑门和互连线延时的因素。最后通过一个完整的IC设计流程介绍了静态时序分析的应用。
关键词 静态时序分析 敏化路径 伪路径 D-算法
下载PDF
一种考虑空间关联工艺偏差的统计静态时序分析方法 被引量:1
11
作者 喻伟 杨海钢 +3 位作者 刘洋 黄娟 蔡博睿 陈锐 《电子与信息学报》 EI CSCD 北大核心 2015年第2期468-476,共9页
为了准确评估工艺参数偏差对电路延时的影响,该文提出一种考虑空间关联工艺偏差的统计静态时序分析方法。该方法采用一种考虑非高斯分布工艺参数的二阶延时模型,通过引入临时变量,将2维非线性模型降阶为1维线性模型;再通过计算到达时间... 为了准确评估工艺参数偏差对电路延时的影响,该文提出一种考虑空间关联工艺偏差的统计静态时序分析方法。该方法采用一种考虑非高斯分布工艺参数的二阶延时模型,通过引入临时变量,将2维非线性模型降阶为1维线性模型;再通过计算到达时间的紧密度概率、均值、二阶矩、方差及敏感度系数,完成了非线性非高斯延时表达式的求和、求极大值操作。经ISCAS89电路集测试表明,与蒙特卡洛仿真(MC)相比,该方法对应延时分布的均值、标准差、5%延时点及95%延时点的平均相对误差分别为0.81%,-0.72%,2.23%及-0.05%,而运行时间仅为蒙特卡洛仿真的0.21%,证明该方法具有较高的准确度和较快的运行速度。 展开更多
关键词 集成电路 统计静态时序分析 空间关联 非高斯非线性 工艺偏差 延时模型
下载PDF
16nm工艺下的新一代静态时序分析技术SOCV 被引量:2
12
作者 胡云生 胡越黎 +2 位作者 王伟平 承文龙 杨晔晨 《计算机测量与控制》 2017年第4期213-215,243,共4页
工艺偏差在更加先进的工艺节点上别的尤为重要;最初使用工艺偏差方法学(on-chip variation,OCV)使用一个系数因子在整条时序路径上放大缩小来模仿工艺变化,这种方法学过于悲观;先进的片上误差方法学(advanced ocv,AOCV)可以在不同的时... 工艺偏差在更加先进的工艺节点上别的尤为重要;最初使用工艺偏差方法学(on-chip variation,OCV)使用一个系数因子在整条时序路径上放大缩小来模仿工艺变化,这种方法学过于悲观;先进的片上误差方法学(advanced ocv,AOCV)可以在不同的时序路径上不同的逻辑深度添加不同的系数因子来模拟工艺误差;但是这种方法学分析的时间太长,消耗的内存太多,并且分析的场景出现的概率很低;介绍一种在16nm下最新的一代时序分析技术-统计学片上误差分析(statistic ocv,SOCV);SOCV能够模拟某种误差使得延时出现的概率,因此SOCV较AOCV更为准确,能够去除部分特别悲观和特别乐观的场景;SOCV耗时明显要低于AOCV,因此SOCV能加快sign-off的时间。 展开更多
关键词 静态时序分析 AOCV SOCV
下载PDF
静态时序分析在数字ASIC设计中的应用 被引量:4
13
作者 陈敏 殷瑞祥 +1 位作者 郭瑢 曾爱华 《重庆工学院学报》 2005年第8期51-55,62,共6页
主要介绍了静态时序分析在数字ASIC设计中的应用,描述了静态时序分析的基本原理和流程,并以I2C总线设计为例,分析了对数字ASIC作静态时序分析中可能出现的问题,提出了消除虚假路径的实际方法.通过对全芯片进行静态时序分析,可以确认设... 主要介绍了静态时序分析在数字ASIC设计中的应用,描述了静态时序分析的基本原理和流程,并以I2C总线设计为例,分析了对数字ASIC作静态时序分析中可能出现的问题,提出了消除虚假路径的实际方法.通过对全芯片进行静态时序分析,可以确认设计的准确性和可靠性,从而为设计流程中每一部分的工作取得sign-off提供可靠保证. 展开更多
关键词 专用集成电路(ASIC) 静态时序分析(STA) I^2C 时序约束 虚假路径
下载PDF
深亚微米ASIC设计中的时序约束与静态时序分析 被引量:7
14
作者 吴丹 刘三清 +2 位作者 徐维锋 林昭昭 邹雪城 《电子工程师》 2004年第3期16-19,22,共5页
在现代深亚微米专用集成电路 (ASIC)设计流程中 ,为使电路性能达到设计者的预期目标 ,并满足电路工作环境的要求 ,必须对一个电路设计进行诸如时序、面积、负载等多方面的约束 ,并自始至终使用这些约束条件来驱动电路设计软件的工作。... 在现代深亚微米专用集成电路 (ASIC)设计流程中 ,为使电路性能达到设计者的预期目标 ,并满足电路工作环境的要求 ,必须对一个电路设计进行诸如时序、面积、负载等多方面的约束 ,并自始至终使用这些约束条件来驱动电路设计软件的工作。文中介绍了设计中所需考虑的各种时序约束 ,并以同步数字系列 (SDH)传输系统中 8路VC12 VC4E1映射电路设计为例 ,详细说明了设计中所采用的时序约束 ,并通过静态时序分析 (STA)方法使电路时序收敛得到了很好的验证。 展开更多
关键词 ASIC 时序约束 静态时序 专用集成电路 深亚微米
下载PDF
片上系统芯片设计与静态时序分析 被引量:2
15
作者 来金梅 《半导体技术》 CAS CSCD 北大核心 1999年第6期52-55,共4页
提出了一种考虑了布线延迟的片上系统设计流程, 并运用一个新的、全芯片的、门级静态时序分析工具支持片上系统设计。实例设计表明, 该设计方法能使设计者得到更能反映实际版图的延迟值, 验证结果更完整、准确,
关键词 系统芯片 静态时序分析 集成电路 设计
下载PDF
静态时序分析在高速FPGA设计中的应用 被引量:12
16
作者 周海斌 《电子工程师》 2005年第11期41-44,共4页
介绍了采用STA(静态时序分析)对FPGA(现场可编程门阵列)设计进行时序验证的基本原理,并介绍了几种与STA相关联的时序约束。针对时序不满足的情况,提出了几种常用的促进时序收敛的方法。结合设计实例,阐明了STA在高速、大规模FPGA开发中... 介绍了采用STA(静态时序分析)对FPGA(现场可编程门阵列)设计进行时序验证的基本原理,并介绍了几种与STA相关联的时序约束。针对时序不满足的情况,提出了几种常用的促进时序收敛的方法。结合设计实例,阐明了STA在高速、大规模FPGA开发中的应用。实践表明,随着数字设计复杂度的增加,在后端的时序验证环节,与传统的动态门级时序仿真相比,采用STA方法的优势在于可以全面、高效地完成验证任务。 展开更多
关键词 静态时序分析(STA) 验证 FPGA 时序约束 时序收敛
下载PDF
100Mbit/s以太网卡芯片设计与静态时序分析
17
作者 黎声华 莫迟 +1 位作者 邹雪城 陈朝阳 《华中科技大学学报(自然科学版)》 EI CAS CSCD 北大核心 2003年第7期13-15,共3页
介绍了 10 0Mbit/s以太网卡控制芯片设计体系结构 ,提出在该芯片设计流程中采用静态时序分析对设计进行门级验证 .该设计的门级验证结果表明采用静态时序分析提高了该网卡芯片设计中时序设计的准确性 ,提高了验证效率 。
关键词 静态时序分析 数字集成电路 验证
下载PDF
微控制器静态时序分析方法研究
18
作者 杜高明 苏海涛 张多利 《仪器仪表学报》 EI CAS CSCD 北大核心 2006年第z2期1054-1055,1069,共3页
静态时序分析方法不依赖于激励,且可以穷尽所有路径,运行速度很快,占用内存很少,克服了动态时序验证的缺陷。我们探讨了时序路径、路径延迟、时序约束等问题,重点研究了多周期路径造成的时序异常排除方法,并用静态时序分析工具Primetim... 静态时序分析方法不依赖于激励,且可以穷尽所有路径,运行速度很快,占用内存很少,克服了动态时序验证的缺陷。我们探讨了时序路径、路径延迟、时序约束等问题,重点研究了多周期路径造成的时序异常排除方法,并用静态时序分析工具Primetime对微控制器软核HGD08R01进行了案例分析,获得了满意的效果。 展开更多
关键词 微控制器 静态时序分析 时序异常 多周期路径
下载PDF
FPGA静态时序约束方法分析 被引量:3
19
作者 王冬 《空间电子技术》 2017年第5期103-106,共4页
采用良好的时序约束方法,使FPGA的静态时序分析结果更接近实际电路。文中简述了FPGA静态时序分析(STA)中时序约束的基本概念,重点针对时序分析中群组定义、时钟域、端口信号等关键时序问题给出了时序约束方法,并通过实例演示进行了说明。
关键词 时序约束 静态时序分析 FPGA
下载PDF
VLSI的静态时序分析技术的研究 被引量:1
20
作者 钟冬庆 《赣南师范学院学报》 2006年第3期94-96,共3页
本文利用Prim eTim e对超大规模集成电路(VLSI)的静态时序进行验证,主要是对工艺库和环境的设置、定义延时信息、定义时钟属性、定义时序例外等进行了详细的阐述,取得了一个较好的VLSI验证方法.
关键词 静态时序分析 PrimeTime 验证
下载PDF
上一页 1 2 6 下一页 到第
使用帮助 返回顶部