期刊文献+
共找到13篇文章
< 1 >
每页显示 20 50 100
3D NoC的冗余双向TSV容错设计 被引量:5
1
作者 欧阳一鸣 袁吴铃 +2 位作者 梁华国 谢涛 黄正峰 《电子测量与仪器学报》 CSCD 2013年第4期326-333,共8页
3D NoC(Network-on-Chip)中,若连接层间相邻路由器的两组单向TSV(Through-Silicon Via)中有1组故障,数据便不能经该通道传输。为实现容错,提出一种在基于簇的3D NoC中添加冗余双向TSV的设计。任何1组单向TSV故障,都可通过配置这组双向TS... 3D NoC(Network-on-Chip)中,若连接层间相邻路由器的两组单向TSV(Through-Silicon Via)中有1组故障,数据便不能经该通道传输。为实现容错,提出一种在基于簇的3D NoC中添加冗余双向TSV的设计。任何1组单向TSV故障,都可通过配置这组双向TSV来替换,实现容错。在无故障TSV时,也可配置这组双向TSV来帮助传输数据包,实现数据的高速传输。与参考文献相比,实验表明,有TSV故障时该设计的平均延时至少减少了43.8%,且提高了系统可靠性。 展开更多
关键词 3d noc 容错 冗余 双向TSV
下载PDF
基于动态优先级的3D NoC偏转路由容错方法 被引量:3
2
作者 欧阳一鸣 欧阳小叶 +2 位作者 梁华国 黄正峰 刘军 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2014年第3期486-492,共7页
若3DNoC中水平和垂直方向出现不可恢复的链路故障,将严重影响整个网络的性能.为此提出一种新型的高效率、低开销的容错方法——基于动态优先级的偏转路由方法.该方法根据目的节点和当前节点的相对位置,动态地设定输出端口优先级,以确保... 若3DNoC中水平和垂直方向出现不可恢复的链路故障,将严重影响整个网络的性能.为此提出一种新型的高效率、低开销的容错方法——基于动态优先级的偏转路由方法.该方法根据目的节点和当前节点的相对位置,动态地设定输出端口优先级,以确保数据包始终选择最优路径传输;为了提高层内和层间数据包传输的速度,采用了两级交叉开关结构.使用Booksim 2.0和Orion 2.0工具与其他方法的实验结果表明,文中方法的网络平均包延时最大降幅达20%,且面积不随网络规模增大而增加,提高了片上网络整体性能. 展开更多
关键词 3d noc 永久故障 容错 偏转路由
下载PDF
基于3D NoC架构上的自适应路由算法设计与仿真
3
作者 苏新 程军 刘俞 《江汉大学学报(自然科学版)》 2021年第1期48-56,共9页
提出了一种3D Mesh结构上的自适应路由算法,一方面避免了维序算法先沿某个方向走完再转向的缺点,实现数据包从多个方向分流,避免在后续节点拥堵;另一方面基于贪心算法思想,选出跳数最小的数据包和需求程度最低的输出端口,做到局部最优... 提出了一种3D Mesh结构上的自适应路由算法,一方面避免了维序算法先沿某个方向走完再转向的缺点,实现数据包从多个方向分流,避免在后续节点拥堵;另一方面基于贪心算法思想,选出跳数最小的数据包和需求程度最低的输出端口,做到局部最优。仿真结果显示,在均匀流量模式下,相对于DOR算法,本算法吞吐量更高,平均端到端时延更低。 展开更多
关键词 3d noc 路由算法 优先级 自适应
下载PDF
改进模拟退火遗传算法的3D NoC低功耗映射 被引量:2
4
作者 何寒娜 方芳 +3 位作者 王伟 陈田 郭金良 任福继 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2019年第4期681-688,共8页
功耗优化是NoC设计的重要部分,针对将IP (intellectual property)核合理映射NoC的问题,提出一种初始种群优化的模拟退火遗传映射算法.首先以功耗优化为主要目标,通过对初始种群选取方法进行改进来获取功耗更低的映射方案,并针对遗传算... 功耗优化是NoC设计的重要部分,针对将IP (intellectual property)核合理映射NoC的问题,提出一种初始种群优化的模拟退火遗传映射算法.首先以功耗优化为主要目标,通过对初始种群选取方法进行改进来获取功耗更低的映射方案,并针对遗传算法局部最优问题,在遗传算法交叉操作阶段结合模拟退火算法,得到全局最优方案.实验在Windows系统下采用C++语言实现,结果显示,与传统的遗传算法相比,该算法具有较好的收敛性,能快速搜索到较优解,在124个IP核的情况下,采用改进的模拟退火遗传算法进行映射产生的平均功耗比使用遗传算法时降低了32.0%. 展开更多
关键词 3d noc 低功耗 映射算法 遗传算法 模拟退火算法
下载PDF
面向非全互连3D NoC的低开销容错路由算法 被引量:2
5
作者 赵俊宇 朱珂 沈剑良 《小型微型计算机系统》 CSCD 北大核心 2017年第4期791-796,共6页
由于TSV通道具有高硬件代价和低可靠性的缺点,限制TSV通道数量的非全互连3D NoC得到广泛研究.在非全互连3D NoC中,路由器需要维护TSV表以助数据包在层间传输时找到可用的TSV通道.现有研究的TSV表存储了整个层面内的TSV通道,具有高硬件... 由于TSV通道具有高硬件代价和低可靠性的缺点,限制TSV通道数量的非全互连3D NoC得到广泛研究.在非全互连3D NoC中,路由器需要维护TSV表以助数据包在层间传输时找到可用的TSV通道.现有研究的TSV表存储了整个层面内的TSV通道,具有高硬件开销、高重构代价的缺点.因此,提出新的TSV表存储策略,仅需存储距离路由4个端口最近的TSV位置和距离.同时考虑到由于TSV分布的非均匀性,数据包在传输过程中可能在TSV附近的路由造成拥塞,文中提出了基于新TSV表的具有拥塞感知的容错路由算法.实验结果表明,在高注入率条件下该算法比Elevator-First算法具有更好的网络传输性能,且在故障率达到50%时仍能保证75%的数据包接收率. 展开更多
关键词 3d noc TSV表 容错路由 拥塞感知
下载PDF
STTAR: A Traffic- and Thermal-Aware Adaptive Routing for 3D Network-on-Chip Systems
6
作者 Juan Fang Yunfei Mao +3 位作者 Min Cai Li’ang Zhao Huijie Chen Wei Xiang 《Computers, Materials & Continua》 SCIE EI 2022年第9期5531-5545,共15页
Since the three-dimensional Network on Chip(3D NoC)uses through-silicon via technology to connect the chips,each silicon layer is conducted through heterogeneous thermal,and 3D NoC system suffers from thermal problems... Since the three-dimensional Network on Chip(3D NoC)uses through-silicon via technology to connect the chips,each silicon layer is conducted through heterogeneous thermal,and 3D NoC system suffers from thermal problems.To alleviate the seriousness of the thermal problem,the distribution of data packets usually relies on traffic information or historical temperature information.However,thermal problems in 3D NoC cannot be solved only based on traffic or temperature information.Therefore,we propose a Score-Based Traffic-and Thermal-Aware Adaptive Routing(STTAR)that applies traffic load and temperature information to routing.First,the STTAR dynamically adjusts the input and output buffer lengths of each router with traffic load information to limit routing resources in overheated areas and control the rate of temperature rise.Second,STTAR adopts a scoring strategy based on temperature and the number of free slots in the buffer to avoid data packets being transmitted to high-temperature areas and congested areas and to improve the rationality of selecting routing output nodes.In our experiments,the proposed scoring Score-Based Traffic-and Thermal-Aware Adaptive Routing(STTAR)scheme can increase the throughput by about 14.98%to 47.90%and reduce the delay by about 10.80%to 35.36%compared with the previous works. 展开更多
关键词 Buffer allocation THERMAL 3d noc routing algorithm
下载PDF
基于3D Mesh结构的一种静态路由算法
7
作者 张麟麟 李东生 雍爱霞 《计算机工程与科学》 CSCD 北大核心 2011年第11期60-64,共5页
3D NoC在同构多核系统中相比2D NoC具有更为优越的性能。本文在研究3DMesh结构的基础上,对拓扑结构中的平均延时和理想吞吐量进行了理论上的评估,并提出了一种基于3DMesh的新的静态路由算法,最后运用NS2网络仿真软件对其进行仿真和比较... 3D NoC在同构多核系统中相比2D NoC具有更为优越的性能。本文在研究3DMesh结构的基础上,对拓扑结构中的平均延时和理想吞吐量进行了理论上的评估,并提出了一种基于3DMesh的新的静态路由算法,最后运用NS2网络仿真软件对其进行仿真和比较。实验结果显示,新的路由算法可以有效地提高吞吐量,并在大规模数据传输时不容易造成阻塞,表现了较好的性能。 展开更多
关键词 片上网络(noc) NS2仿真 路由算法 平均延时 吞吐量 3d MESH
下载PDF
革命性的立体几何工具:Cabri 3D
8
作者 盘俊春 《中国信息技术教育》 2013年第1期92-93,108,共3页
笔者担任过多届NOC活动的评委,其间遇到过很多老师问我,“什么样的课件才是好课件?用什么软件制作课件最好呢?”我制作课件也有十多年的历史,以前制作课件过度追求美观、重技术却轻实用,现在慢慢体会到只有实用和有效的课件才是... 笔者担任过多届NOC活动的评委,其间遇到过很多老师问我,“什么样的课件才是好课件?用什么软件制作课件最好呢?”我制作课件也有十多年的历史,以前制作课件过度追求美观、重技术却轻实用,现在慢慢体会到只有实用和有效的课件才是最好的课件。 展开更多
关键词 立体几何 革命性 工具 3d 软件制作 课件 noc
下载PDF
NoC功耗与性能的研究 被引量:1
9
作者 彭永红 辛洁 +2 位作者 周晓伟 沈波 丁一峰 《现代电子技术》 2012年第4期173-176,共4页
在当前高性能片上网络设计中,功耗和延迟是设计所面临的核心问题之一。在此着重阐述了构成低功耗和低延迟NoC的4种结构:低摆幅的信号传输结构、可重构的NoC结构、3D的IC设计结构、基于数据压缩机制的结构。通过对其功过原理的分析,比较... 在当前高性能片上网络设计中,功耗和延迟是设计所面临的核心问题之一。在此着重阐述了构成低功耗和低延迟NoC的4种结构:低摆幅的信号传输结构、可重构的NoC结构、3D的IC设计结构、基于数据压缩机制的结构。通过对其功过原理的分析,比较了4种结构的优缺点,最后对未来低功耗、低延迟的NoC发展方向做出了预测。 展开更多
关键词 noc 低功耗 低延迟 3d
下载PDF
一种面向三维众核微处理器的新型NoC拓扑结构
10
作者 陈继承 王洪伟 张闯 《计算机工程与科学》 CSCD 北大核心 2016年第8期1542-1549,共8页
三维微处理器具有集成度高、全局互连线短及连接部件多的优势,但是传统的三维拓扑结构在大规模系统中无法充分利用垂直方向上低延时高带宽的特性,很难满足大规模众核微处理器低直径、高带宽、高扩展性的需求。针对三维NoC网络直径大、... 三维微处理器具有集成度高、全局互连线短及连接部件多的优势,但是传统的三维拓扑结构在大规模系统中无法充分利用垂直方向上低延时高带宽的特性,很难满足大规模众核微处理器低直径、高带宽、高扩展性的需求。针对三维NoC网络直径大、可扩展性要求高以及路由端口多的问题,提出了一种基于多级垂直域的三维拓扑结构—V-Spidergon,其在水平层上采用Spidergon结构,在垂直方向上采用多级垂直域扩展结构,域内及域间均实现全互连。实验数据表明,在8层、16层和32层堆叠下,V-Spidergon结构的延时较3D-Mesh分别降低15.1%、28.5%和55.7%,较NoC-Bus分别降低11.5%、32.7%和77.6%;在15%和100%负载率注入情形下,V-Spidergon的平均延时表现出与水平层数增加不相关的特性。 展开更多
关键词 众核微处理器 片上网络 三维集成电路 3d—Mesh noc—Bus 多级垂直域结构
下载PDF
三维片上网络拓扑结构研究综述 被引量:4
11
作者 张大坤 宋国治 +1 位作者 王莲莲 黄翠 《计算机科学与探索》 CSCD 北大核心 2015年第2期129-164,共36页
三维片上网络(three-dimensional network on chip,3D No C)是在三维集成电路(three-dimensional integrated circuit,3D IC)、片上系统(system on chip,So C)和二维片上网络(two-dimensional network on chip,2D No C)的基础上发展起来... 三维片上网络(three-dimensional network on chip,3D No C)是在三维集成电路(three-dimensional integrated circuit,3D IC)、片上系统(system on chip,So C)和二维片上网络(two-dimensional network on chip,2D No C)的基础上发展起来的,主要解决高集成度芯片通信瓶颈等问题,已引起国内外学术界和产业界的高度重视。3D No C拓扑结构体现了通信节点在芯片中的布局与连接,对三维芯片性能起决定性作用。简介了2D No C、2D No C到3D No C的演变、3D No C的优点与存在的问题以及3D No C解决的关键技术问题,分析了3D No C总体发展状况。三维拓扑结构是3D No C设计中的关键问题之一,重点研究了3D No C拓扑结构的分类方法,从通信角度将3D No C拓扑结构分成9大类,分类论述了3D No C拓扑结构,并分析比较了现有63种拓扑结构各自的特点,最后指出了3D No C拓扑结构的未来研究方向。 展开更多
关键词 三维片上网络(3d noc) 通信瓶颈 拓扑结构 拓扑结构分类
下载PDF
三维片上网络最短路径令牌式路由算法
12
作者 周文强 张金艺 +1 位作者 周多 刘江 《微电子学与计算机》 CSCD 北大核心 2015年第5期84-90,共7页
目前,常用的一些三维片上网络(3D NoC)路由算法在路由路径最短和路由路径多样性两方面只能保证其一个,二者不能很好地兼顾.针对这些不足,设计了两种既能保证路由路径最短,同时也能保证路由路径多样性的三维片上网络最短路径令牌式路由算... 目前,常用的一些三维片上网络(3D NoC)路由算法在路由路径最短和路由路径多样性两方面只能保证其一个,二者不能很好地兼顾.针对这些不足,设计了两种既能保证路由路径最短,同时也能保证路由路径多样性的三维片上网络最短路径令牌式路由算法,分别是适用于3D Mesh结构的3D-Mesh-MPT路由算法和适用于3DTorus结构的3D-Torus-MPT路由算法.两种算法在路由过程中总是选择最短的路径进行路由,同时路由器输出端口的选择由算法中令牌的分配情况而定,保证了路径的多样性.采用Verilog HDL实现了这两种三维片上网络路由算法,同时,为了提高算法的灵活性,设计时采用了参数化设计.实验结果表明,设计的两种算法具有较低的资源利用率,在FPGA主要资源Slice Registers、Slice LUTs以及Occupied slices等方面的利用率分别均不到0.3‰、1.4‰、3.5‰.在延时方面,两种算法的最大输出延时均在7.3~7.6ns之间.另外,两种算法的功耗随频率变化的趋势和理论分析一致,呈现低功耗的特点. 展开更多
关键词 3d noc 最短路径 多样性 令牌式 参数化设计
下载PDF
利用拥塞信息片上网络自适应容错路由算法 被引量:1
13
作者 杨祥 毕朝国 《控制工程》 CSCD 北大核心 2017年第6期1218-1223,共6页
3D片上网络(NoC)可以为高性能的片上系统(SoC)提供有效可扩展的通信架构。针对3D NOC架构的可靠性易受运行错误影响的问题,提出一种近邻拥塞信息感知的自适应容错路由算法(FT-DyXYZ),根据邻近拥堵信息来平衡网络中的负载,利用自适应路... 3D片上网络(NoC)可以为高性能的片上系统(SoC)提供有效可扩展的通信架构。针对3D NOC架构的可靠性易受运行错误影响的问题,提出一种近邻拥塞信息感知的自适应容错路由算法(FT-DyXYZ),根据邻近拥堵信息来平衡网络中的负载,利用自适应路由算法选择轻拥堵无故障的最短路径进行数据传输。该算法无需路由表、冗余信息、路径和错误的全局信息,大大降低了计算开销。在不同负载模式和错误链接率的情况下进行实验,结果表明,相比平面自适应路由算法,FT-DyXYZ在延迟、饱和注入率和投递率等性能方面具有显著优势。 展开更多
关键词 3d片上网络(noc) 链路容错路由 最短路径 拥塞感知 自适应 片上系统(SoC)
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部