期刊文献+
共找到18篇文章
< 1 >
每页显示 20 50 100
快速乘法器中高速4-2压缩器的设计(英文) 被引量:4
1
作者 袁寿财 朱长纯 《微电子学与计算机》 CSCD 北大核心 2002年第4期53-56,共4页
文章给出了两种优化的4-2压缩器电路结构,一种是选用不同结构的异或门电路对传统的异或门4-2压缩器结构进行优化,另一种是通过单值到双值逻辑的转换用传输门搭建的4-2压缩器电路。基于0.35μm和0.25μmCMOS模型参数的SPICE模拟,对两种4-... 文章给出了两种优化的4-2压缩器电路结构,一种是选用不同结构的异或门电路对传统的异或门4-2压缩器结构进行优化,另一种是通过单值到双值逻辑的转换用传输门搭建的4-2压缩器电路。基于0.35μm和0.25μmCMOS模型参数的SPICE模拟,对两种4-2压缩器电路的最大延迟、功耗和面积进行了比较。结果表明,和库综合的4-2压缩器相比,文章的设计对提高乘法器速度减小面积是有效的。 展开更多
关键词 快速乘法器 高速4-2压缩器 设计 SPICE模拟
下载PDF
基于CTGAL电路的绝热4-2压缩器和乘法器设计 被引量:2
2
作者 汪鹏君 徐建 戴静 《电路与系统学报》 CSCD 北大核心 2008年第5期61-65,共5页
通过对并行乘法器和钟控传输门绝热逻辑(Clocked Transmission Gate Adiabatic Logic,CTGAL)电路工作原理及结构的研究,提出了基于CTGAL电路的绝热4-2压缩器的设计方案,与传统CMOS逻辑的4-2压缩器相比,此压缩器节省平均功耗约87%。在此... 通过对并行乘法器和钟控传输门绝热逻辑(Clocked Transmission Gate Adiabatic Logic,CTGAL)电路工作原理及结构的研究,提出了基于CTGAL电路的绝热4-2压缩器的设计方案,与传统CMOS逻辑的4-2压缩器相比,此压缩器节省平均功耗约87%。在此基础上,进一步设计了4×4位绝热乘法器,HSPICE模拟结果表明了所设计的电路具有正确的逻辑功能和显著的能量恢复特性。 展开更多
关键词 绝热4-2压缩器 CTGAL电路 绝热乘法器:电路设计
下载PDF
基于Radix-4 Booth编码的模2^n+1乘法器设计 被引量:1
3
作者 鄢斌 李军 《通信技术》 2015年第10期1168-1173,共6页
模2n+1乘法(n=8、16)在分组密码算法中比较常见,如IDEA算法,但由于其实现逻辑复杂,往往被视为密码算法性能的瓶颈。提出了一种适用于分组密码算法运算特点的基于Radix-4Booth编码的模2n+1乘法器实现方法,其输入/输出均无需额外的转换电... 模2n+1乘法(n=8、16)在分组密码算法中比较常见,如IDEA算法,但由于其实现逻辑复杂,往往被视为密码算法性能的瓶颈。提出了一种适用于分组密码算法运算特点的基于Radix-4Booth编码的模2n+1乘法器实现方法,其输入/输出均无需额外的转换电路,并通过简化部分积生成、采用重新定义的3-2和4-2压缩器等措施以减少路径时延和硬件复杂度。比较其他同类设计,该方法具有较小的面积、时延,可有效提高分组密码算法的加解密性能。 展开更多
关键词 分组密码算法 Radix-4 BOOTH编码 3—24-2压缩器 2^n+1乘法
下载PDF
一种wallace树压缩器硬件结构的实现 被引量:3
4
作者 管幸福 余宁梅 路伟 《计算机工程与应用》 CSCD 北大核心 2011年第23期76-78,83,共4页
设计了一种用于32位浮点乘法器尾数乘部分的wallace树压缩器的硬件结构实现方法,通过3-2和4-2压缩的混合搭配,构成一种新的wallace树压缩器,采用verilog硬件描述语言实现RTL级代码的编写,并使用VCS进行功能仿真,然后在SMIC0.13μm的工艺... 设计了一种用于32位浮点乘法器尾数乘部分的wallace树压缩器的硬件结构实现方法,通过3-2和4-2压缩的混合搭配,构成一种新的wallace树压缩器,采用verilog硬件描述语言实现RTL级代码的编写,并使用VCS进行功能仿真,然后在SMIC0.13μm的工艺下,用synopsys DC进行逻辑综合、优化。结果表明,这种压缩器在部分积的压缩过程中,有效地提高了运算速度,并在很大程度上减小了硬件实现面积。 展开更多
关键词 3-2压缩器 4-2压缩器 wallace树压缩器
下载PDF
一种3级流水线wallace树压缩器的硬件设计 被引量:6
5
作者 常静波 郭立 《微电子学与计算机》 CSCD 北大核心 2005年第1期160-162,165,共4页
本文提出了一种针对32位浮点乘法运算的三级流水线wallace树压缩器。首先设计出4-2和3-2压缩器,然后由其构成wallace树结构的压缩器,在部分积整个压缩过程中,采用三级流水线,大大提高了浮点运算中尾数处理的速度。该压缩器采用了模块化... 本文提出了一种针对32位浮点乘法运算的三级流水线wallace树压缩器。首先设计出4-2和3-2压缩器,然后由其构成wallace树结构的压缩器,在部分积整个压缩过程中,采用三级流水线,大大提高了浮点运算中尾数处理的速度。该压缩器采用了模块化设计,并用VHDL进行了描述,使用了modelsimXEII5.6a仿真软件进行了波形仿真,并用synplify/synplifypro综合工具比较了由两种不同4-2压缩单元所构成的wallace树压缩器的综合结果,选出最佳的一种。此压缩器已作为一个压缩模块,用在32位浮点乘法器的软核设计中,得到了很好的结果。 展开更多
关键词 4-2压缩器 3-2压缩器 WALLACE树 流水线 部分积压缩器
下载PDF
基于新型booth选择器和压缩器的乘法器设计 被引量:6
6
作者 王佳乐 胡越黎 《微电子学与计算机》 北大核心 2020年第3期5-8,共4页
为了优化乘法器关键路径延时并减少电路面积,提高乘法器的整体性能.本文在运用基4booth算法的基础上,针对部分积生成器延时相对较大的问题,提出了一种新型的booth选择器,用于提高部分积生成的效率.同时,本文又提出一种新型的4-2部分积... 为了优化乘法器关键路径延时并减少电路面积,提高乘法器的整体性能.本文在运用基4booth算法的基础上,针对部分积生成器延时相对较大的问题,提出了一种新型的booth选择器,用于提高部分积生成的效率.同时,本文又提出一种新型的4-2部分积压缩器,用于提高部分积压缩器的压缩效率.基于tsmc28nm工艺,对运用上述优化点的有符号16比特乘法器进行仿真验证和综合,本文设计的乘法器关键路径延时为0.98 ns.实验结果表明,本文提出的两点新型设计,能较好的提升乘法器的计算性能. 展开更多
关键词 booth选择器 4-2压缩器 乘法器 部分积
下载PDF
基于H.264标准的一种新颖的DCT硬件实现 被引量:1
7
作者 张晓晨 王琴 毛志刚 《信息技术》 2010年第3期68-71,共4页
H.264是新一代视频编码标准,具有很好的视频压缩性能。H.264的DCT变换是一种4×4的整数变换,适用于专用集成电路的硬件实现。采用一种新颖的实现方法,利用4-2压缩器和超前进位加法器来代替传统的加法,提高了运算速度。
关键词 H.264 4-2压缩器 超前进位加法器 硬件实现
下载PDF
32位高速浮点乘法器优化设计 被引量:2
8
作者 周德金 孙锋 于宗光 《半导体技术》 CAS CSCD 北大核心 2007年第10期871-874,共4页
设计了一种用于频率为200 MHz的32位浮点数字信号处理器(DSP)中的高速乘法器。采用修正Booth算法与Wallace压缩树结合结构完成Carry Sum形式的部分积压缩,再由超前进位加法器求得乘积。对乘法器中的4-2压缩器进行了优化设计,压缩单元完... 设计了一种用于频率为200 MHz的32位浮点数字信号处理器(DSP)中的高速乘法器。采用修正Booth算法与Wallace压缩树结合结构完成Carry Sum形式的部分积压缩,再由超前进位加法器求得乘积。对乘法器中的4-2压缩器进行了优化设计,压缩单元完成部分积压缩的时间仅为1.47 ns,乘法器延迟时间为3.5 ns。 展开更多
关键词 浮点乘法器 BOOTH编码 4-2压缩器 超前进位加法器
下载PDF
一种32位高速浮点乘法器设计 被引量:4
9
作者 周德金 孙锋 于宗光 《电子与封装》 2008年第9期35-38,共4页
文章介绍一种32位浮点乘法器软IP的设计,其部分积缩减部分采用修正Booth算法,部分积加法采用4-2压缩树结构,最终carry、sum形式部分积采用进位选择加法器完成,乘法器可以进行32位浮点数或24位定点数的乘法运算。采用VerilogHDLRTL级描述... 文章介绍一种32位浮点乘法器软IP的设计,其部分积缩减部分采用修正Booth算法,部分积加法采用4-2压缩树结构,最终carry、sum形式部分积采用进位选择加法器完成,乘法器可以进行32位浮点数或24位定点数的乘法运算。采用VerilogHDLRTL级描述,采用SMIC0.18μm工艺库进行综合,门级仿真结果表明乘法器延时小于4.05ns。 展开更多
关键词 浮点乘法器 BOOTH编码 4-2压缩器 进位选择加法器
下载PDF
改进部分积压缩结构的快速乘法器 被引量:1
10
作者 董时华 乔庐峰 《计算机工程》 CAS CSCD 北大核心 2010年第9期252-254,共3页
针对16位乘法器运算速度慢、硬件逻辑资源消耗大的问题,采用华莱士树压缩结构,通过对二阶布思算法、4-2压缩器和保留进位加法器的优化组合使用及对符号数采用合理的添、补、删策略,实现16位符号数快速乘法器的优化设计。该乘法器采用SMI... 针对16位乘法器运算速度慢、硬件逻辑资源消耗大的问题,采用华莱士树压缩结构,通过对二阶布思算法、4-2压缩器和保留进位加法器的优化组合使用及对符号数采用合理的添、补、删策略,实现16位符号数快速乘法器的优化设计。该乘法器采用SMIC 0.18μm工艺标准数字单元库,使用Synopsys Design Compiler综合实现,在1.8 V,25℃条件下,芯片最大路径延时为3.16 ns,内核面积为50 452.75μm2,功耗为5.17 mW。 展开更多
关键词 布思算法 4-2压缩器 保留进位加法器 跳跃进位加法器 华莱士树型结构
下载PDF
基于改进的混合压缩结构的Wallace树设计 被引量:1
11
作者 邵磊 张树丹 于宗光 《电子与封装》 2007年第9期12-14,18,共4页
文章针对典型的32位浮点乘法器,对Booth算法产生的部分积重新分组,采用CSA和4-2压缩器的混合电路结构,对传统的Wallace树型乘法器进行改进,并提出一种高速的树型乘法器阵列结构。该结构与传统的Wallace树型相比,具有更小的延时、更规整... 文章针对典型的32位浮点乘法器,对Booth算法产生的部分积重新分组,采用CSA和4-2压缩器的混合电路结构,对传统的Wallace树型乘法器进行改进,并提出一种高速的树型乘法器阵列结构。该结构与传统的Wallace树型相比,具有更小的延时、更规整的布局布线,使其更易于VLSI实现。 展开更多
关键词 乘法器 4-2压缩器 CSA WALLACE树
下载PDF
RV32IM处理器乘法电路的设计与实现 被引量:3
12
作者 张凯 李涛 +1 位作者 秦晨蕊 圣飞 《微电子学与计算机》 CSCD 北大核心 2018年第9期125-128,共4页
为了实现RV32IM处理器中整数乘法的操作,对RISC-V指令集中整数乘法的"M"标准扩展进行实现.设计中对于乘法指令的实现,采用基4的Booth算法和Wallace树型4-2压缩器.将该设计嵌入到RV32IM处理器中,通过仿真和SMIC 65nm高密度标... 为了实现RV32IM处理器中整数乘法的操作,对RISC-V指令集中整数乘法的"M"标准扩展进行实现.设计中对于乘法指令的实现,采用基4的Booth算法和Wallace树型4-2压缩器.将该设计嵌入到RV32IM处理器中,通过仿真和SMIC 65nm高密度标准单元库进行综合表明:该乘法单元电路功能正确且显著提高了乘法的运算效率,最大工作频率能够达到500 MHz. 展开更多
关键词 乘法器 BOOTH算法 4-2压缩器 RV32IM处理器 RISC-V指令集
下载PDF
基于混合压缩结构的新型浮点乘法器设计 被引量:3
13
作者 姚上上 沈立 《微电子学与计算机》 2021年第9期74-78,共5页
为了进一步提高浮点乘法器的性能,缩短浮点乘法器关键路径延时,提出了一种基于新型4-2压缩器和5-2压缩器的混合压缩结构.在Xillinx的xc7a35tcsg324开发板上,基于该结构实现了IEEE754标准的32位浮点乘法器.相较于现有的压缩方式,提出的... 为了进一步提高浮点乘法器的性能,缩短浮点乘法器关键路径延时,提出了一种基于新型4-2压缩器和5-2压缩器的混合压缩结构.在Xillinx的xc7a35tcsg324开发板上,基于该结构实现了IEEE754标准的32位浮点乘法器.相较于现有的压缩方式,提出的新型压缩结构相较于现有的压缩方式,所使用的LUT资源减少了45,关键路径延时减少了0.004 ns.与传统浮点乘法器相比,关键路径延时由6.022 ns缩短至4.673 ns,提升了浮点乘法器的运算性能. 展开更多
关键词 4-2压缩器 5-2压缩器 WALLACE树 浮点乘法器
下载PDF
三输入独立栅FETs的电路设计方法研究
14
作者 郑健 蒋志迪 +1 位作者 胡建平 杨源 《数据通信》 2023年第2期31-35,39,共6页
本文我们用目前已经提出来的具有不同开关功能的三输入器件进行电路设计。在设计电路时,让电路所需的晶体管数目更少,功耗更低。三输入器件具有三个信号输入端,它的信号处理能力比传统单输入器件更强。我们以全加器和近似4-2压缩器电路... 本文我们用目前已经提出来的具有不同开关功能的三输入器件进行电路设计。在设计电路时,让电路所需的晶体管数目更少,功耗更低。三输入器件具有三个信号输入端,它的信号处理能力比传统单输入器件更强。我们以全加器和近似4-2压缩器电路为例,来说明三输入独立栅FETs的电路设计方法,并比较用三输入器件设计的电路与用传统单输入器件设计的电路的性能。用Verilog-A语言建立好的查找表模型用于三输入器件,选取32nm的BSIM-CMG模型用于传统单输入器件。仿真工具我们选用HSPICE。仿真电路时,给予相同的输入信号。结果表明用三输入器件去设计全加器和近似4-2压缩器电路时,消耗的晶体管数目减少了65%,功耗延时积减少了约80%。因此,这项新颖的电路设计技术为低功耗和高密度集成电路提供了一种新的设计思路。 展开更多
关键词 全加器 近似4-2压缩器 三输入器件
下载PDF
基于现场可编程门阵列的高斯滤波算法优化实现 被引量:5
15
作者 陈超 罗小华 +1 位作者 陈淑群 俞国军 《浙江大学学报(工学版)》 EI CAS CSCD 北大核心 2017年第5期969-975,共7页
针对传统高斯滤波算法硬件设计方法中关键路径较长、逻辑延时较大的问题,提出加数压缩的硬件优化实现方法.在高斯滤波算法优化实现过程中,采用移位操作来实现乘法与除法计算,避免使用乘法器与除法器.并引入保留进位加法器(CSA)、基于多... 针对传统高斯滤波算法硬件设计方法中关键路径较长、逻辑延时较大的问题,提出加数压缩的硬件优化实现方法.在高斯滤波算法优化实现过程中,采用移位操作来实现乘法与除法计算,避免使用乘法器与除法器.并引入保留进位加法器(CSA)、基于多路选择器(MUX)的4-2压缩器、加数压缩的树型结构,对9个加数进行3个层次的压缩.经过优化后,只需1个全加器便可得求和结果.结果表明,经过加数压缩设计可以达到缩短关键路径、减少逻辑延时的目标,使逻辑延时缩小32.48%,同时还极大节省所需加法器宏单元数,为后续图像处理模块提供更大的设计自由度. 展开更多
关键词 高斯滤波器 保留进位加法器 基于MUX的4-2压缩器 加数压缩的树型结构 全加器
下载PDF
高速双域乘法器设计及其应用 被引量:2
16
作者 郑朝霞 资义纯 +1 位作者 田园 吴浩 《微电子学与计算机》 CSCD 北大核心 2016年第5期1-5,共5页
双域乘法器在椭圆曲线密码学中具有重要意义,是构成双域模乘器的重要组件.考虑到双域乘法器的关键路径主要由GF(p)域决定;因此,在传统的基4Booth编码乘法器的基础上进行优化设计,改进部分积产生电路以及Wallace压缩电路,使其能够同时支... 双域乘法器在椭圆曲线密码学中具有重要意义,是构成双域模乘器的重要组件.考虑到双域乘法器的关键路径主要由GF(p)域决定;因此,在传统的基4Booth编码乘法器的基础上进行优化设计,改进部分积产生电路以及Wallace压缩电路,使其能够同时支持GF(p)域和GF(2 m)域.设计的双域乘法器在FPGA实现结果表明,双域乘法器比单独实现两个域面积减小16.9%;延时比单独的GF(p)域增加1.188ns.将设计的双域乘法器应用到模乘器,结果表明,该设计完成一次256bit的模乘操作比已有的在时间上节约了7.35%. 展开更多
关键词 双域乘法器 双域模乘 BOOTH编码 双域4-2压缩器
下载PDF
一种改进的Wallace树型乘法器的设计 被引量:12
17
作者 赵忠民 林正浩 《电子设计应用》 2006年第8期113-116,10,共4页
本文针对典型32位乘法,对Booth算法产生的部分积重新合理分组,采用CSA和4-2压缩器的混合电路结构,对传统的Wallace树型乘法器进行改进,提出一种高速的树型乘法器结构。该结构与传统Wallace树型乘法器相比,具有更小的延时、更规整的布局... 本文针对典型32位乘法,对Booth算法产生的部分积重新合理分组,采用CSA和4-2压缩器的混合电路结构,对传统的Wallace树型乘法器进行改进,提出一种高速的树型乘法器结构。该结构与传统Wallace树型乘法器相比,具有更小的延时、更规整的布局和更规则的布线,使其易于VLSI实现。 展开更多
关键词 BOOTH算法 WALLACE树 CSA 4-2压缩器 树型乘法器
下载PDF
SHA1 IP的设计及速度优化 被引量:2
18
作者 赵宇 周玉洁 《信息安全与通信保密》 2006年第12期125-127,共3页
论文简要介绍了SHA1算法的基本流程,并给出了一种硬件实现方案,文中着重介绍了提高IP的工作速度所采用的三种速度优化方案,并在文章的最后对速度优化的结果进行了比较,可以看出通过优化IP的工作速度得到了显著的提高。
关键词 SHAI IP核 4-2压缩器 超前进位加法器
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部