期刊文献+
共找到149篇文章
< 1 2 8 >
每页显示 20 50 100
一种可暂停的低功耗DMA控制器设计及验证
1
作者 苏皇滨 林伟 林伟峰 《电子与封装》 2024年第3期69-74,共6页
通过分析直接内存存取(DMA)控制器的工作原理和主要功耗来源,发现其在空闲状态时依然存在功耗较高的问题,为了解决空闲状态功耗损失问题以及满足DMA控制器实际传输过程中可能出现的暂停需求,提出了一种可暂停的低功耗DMA控制器设计方案... 通过分析直接内存存取(DMA)控制器的工作原理和主要功耗来源,发现其在空闲状态时依然存在功耗较高的问题,为了解决空闲状态功耗损失问题以及满足DMA控制器实际传输过程中可能出现的暂停需求,提出了一种可暂停的低功耗DMA控制器设计方案。采用自适应时钟控制机制,通过加入时钟门控技术,根据DMA数据传输需求动态调整时钟,使DMA引擎模块功耗降低了62%。针对暂停需求,采用了一种可暂停的控制策略,通过加入暂停指令,实现对DMA传输的实时暂停和恢复,提高了DMA控制器的灵活性。为了保证DMA控制器功能的正确性和完备性,采用基于覆盖率驱动验证(CDV)的验证策略,划分DMA控制器的功能点,针对每个功能点编写测试用例,搭建通用验证方法学(UVM)仿真验证平台,进行大量随机测试和定向测试,给出了测试的结果以及完整的覆盖率分析结果。 展开更多
关键词 dma控制器 低功耗设计 暂停指令 时钟门控技术 覆盖率驱动验证 通用验证方法学
下载PDF
基于PCIE总线架构的DMA控制器设计 被引量:1
2
作者 张航 《集成电路应用》 2023年第12期23-24,共2页
阐述一种适用于PCIE硬件加速卡结构的DMA控制器的设计,该控制器支持由流式数据传输向地址数据传输的转换,它由PC端配置启动,通过读取主机内存中的描述符链表来主动发起分散数据块的连续传输,提供复数的双向DMA传输通道。
关键词 集成电路设计 PCIE总线 dma控制器
下载PDF
舰船红外图像处理中多通道DMA控制器改进设计
3
作者 梁剑烽 梁家海 姜愉 《舰船科学技术》 北大核心 2023年第21期209-212,共4页
为了提升舰船红外图像处理效果,研究舰船红外图像处理中多通道DMA控制器改进设计方法。改进后多通道DMA控制器中仲裁器依据优先级轮询仲裁每个DMA通道,建立舰船红外图像读写传输通道;嵌入式Motion-JPEG2000编码器,通过建立的传输通道,... 为了提升舰船红外图像处理效果,研究舰船红外图像处理中多通道DMA控制器改进设计方法。改进后多通道DMA控制器中仲裁器依据优先级轮询仲裁每个DMA通道,建立舰船红外图像读写传输通道;嵌入式Motion-JPEG2000编码器,通过建立的传输通道,读入舰船红外图像,并利用结合小波变换与正交化分形编码的压缩算法,压缩编码图像,加快图像处理的传输效率。通过寄存器配置保存舰船红外图像处理时产生的配置信息;利用存储器端口向存储器端发送处理后的图像,通过外设端口负责向外设端发送处理后的图像。实验证明:该方法可有效压缩舰船红外图像;在不同目标比特率时,该方法压缩后图像的逼真度均较高;该方法改进后多通道DMA控制器的图像传输效率较快。 展开更多
关键词 舰船红外图像 多通道 dma控制器 编码器 小波变换 正交化分形编码
下载PDF
8237A-5高性能DMA控制器在16位微机中的应用
4
作者 吴宝才 《现代雷达》 1988年第3期98-107,共10页
在进行数据处理和实时控制的微型计算机中,实现高速DMA数据传送是减轻CPU的负担,提高系统吞吐量和性能的重要手段。本文主要阐述在以8086为CPU的微机中用8237A-5高性能DMA控制器实现高速DMA数据传送的方法。一、8237A-5性能简介 1、具... 在进行数据处理和实时控制的微型计算机中,实现高速DMA数据传送是减轻CPU的负担,提高系统吞吐量和性能的重要手段。本文主要阐述在以8086为CPU的微机中用8237A-5高性能DMA控制器实现高速DMA数据传送的方法。一、8237A-5性能简介 1、具有独立的四个DMA通道。采用多片8237可实现任意多个通道。一次DMA过程最少传送一个字节,最多64KB。 2、每个DMA通道的DMA请求的控制是独立的,可外部请求DMA。 展开更多
关键词 地址寄存器 请求 高性能 dma 控制器 调节器 字节计数寄存器 存贮器 存储器 微机 微型计算机
下载PDF
基于FPGA的PCIe总线DMA控制器的设计与验证 被引量:9
5
作者 李丽斯 崔志华 +2 位作者 殷晔 王石记 常路 《计算机测量与控制》 北大核心 2014年第4期1166-1168,共3页
PCIe总线是为了解决高速率高带宽提出的新一代总线,随着处理器技术的发展,在互连领域中,PCIe总线的使用越来越多;为了实现上位机与FPGA之间的高速数据交换,基于FPGA设计了能够高速传输数据的DMA控制器,本设计的验证是基于北京航天测控... PCIe总线是为了解决高速率高带宽提出的新一代总线,随着处理器技术的发展,在互连领域中,PCIe总线的使用越来越多;为了实现上位机与FPGA之间的高速数据交换,基于FPGA设计了能够高速传输数据的DMA控制器,本设计的验证是基于北京航天测控公司开发的6槽机箱、嵌入式控制器(基于PCIe总线)、以及数字I/O模块;设计实现了嵌入式控制器与数字I/O模块之间的数据传输,并且通过了验证,证明了DMA控制器功能的正确性;加入DMA控制器后,写数据传输速率达到610MB/s,提高到了原来的7倍;读数据传输速率达到492MB/s,提高到原来的11倍,满足系统的设计要求;该控制器在大带宽的数据传输中有广泛的应用。 展开更多
关键词 FPGA PCIe总线 dma控制器 数据传输
下载PDF
DMA控制器的设计与仿真 被引量:3
6
作者 唐威 刘佑宝 +2 位作者 刘军华 段来仓 车德亮 《微电子学与计算机》 CSCD 北大核心 2002年第12期48-51,共4页
文章介绍了DSPSM9966中的双通道DMA控制器的主要功能和电路结构,给出了主要电路的实现方法,并引入存储器模型,举例说明了对其进行逻辑仿真的基本方法。
关键词 dma控制器 设计 仿真 存储器 电路结构
下载PDF
可编程DMA控制器IP设计 被引量:5
7
作者 李涛 高德远 樊晓桠 《计算机工程与应用》 CSCD 北大核心 2000年第8期39-40,138,共3页
参数化可重用的IP作为新的设计方向,为实现片上系统提供了必要基础,同时建立IP库将为今后的设计节省大量时间和资金。而8位PDMAC的IP设计对于这方面的探索有着重要意义。文章介绍了IP的电路逻辑设计,电路的功能仿真、综合、后仿真和... 参数化可重用的IP作为新的设计方向,为实现片上系统提供了必要基础,同时建立IP库将为今后的设计节省大量时间和资金。而8位PDMAC的IP设计对于这方面的探索有着重要意义。文章介绍了IP的电路逻辑设计,电路的功能仿真、综合、后仿真和实现等过程。设计的结果表明,这种设计方法对于加快我国的微电子业的进步有一定推动作用。 展开更多
关键词 可编程dma控制器 IP 设计 集成电路
下载PDF
NiosⅡ系统中DMA控制器的原理及应用 被引量:4
8
作者 张显才 李向东 +1 位作者 丁国宁 王大众 《现代电子技术》 2012年第6期19-21,共3页
详细介绍了NiosⅡ系统中DMA控制器的基本原理,数据传输过程以及DMA控制器中各寄存器的作用。重点介绍了与DMA操作有关的API函数及其使用方法,并通过一个实例描述NiosⅡ系统中如何利用DMA方式将数据从SDRAM传输到串口。实践证明,在同等CP... 详细介绍了NiosⅡ系统中DMA控制器的基本原理,数据传输过程以及DMA控制器中各寄存器的作用。重点介绍了与DMA操作有关的API函数及其使用方法,并通过一个实例描述NiosⅡ系统中如何利用DMA方式将数据从SDRAM传输到串口。实践证明,在同等CPU开销的情况下,利用DMA技术可以将CPU从繁重的数据搬移操作中释放出来,从而提高CPU的数据处理能力。 展开更多
关键词 NiosⅡ dma控制器 dma技术 SDRAM
下载PDF
DMA控制器的优化与改进 被引量:3
9
作者 张骏 张盛兵 樊晓桠 《计算机工程与应用》 CSCD 北大核心 2005年第18期94-95,161,共3页
提出了一种提高DMA控制器性能的有效方法,INTEL8237是一种高性能的可编程的DMA控制器,但在控制数据传输时所需周期太长,尤其在存储器之间传输时传送一个字节需要2μS,该文针对这一点对原有DMA控制器的结构和时序做了调整,使存储器之间... 提出了一种提高DMA控制器性能的有效方法,INTEL8237是一种高性能的可编程的DMA控制器,但在控制数据传输时所需周期太长,尤其在存储器之间传输时传送一个字节需要2μS,该文针对这一点对原有DMA控制器的结构和时序做了调整,使存储器之间的传输效率提高了一倍,IO到存储器的数据传输效率也有不同程度的提高。 展开更多
关键词 dma控制器 性能优化 可编程
下载PDF
基于AMBA总线的DMA控制器IP核设计与分析 被引量:5
10
作者 谢琅 杨艳 《计算机应用研究》 CSCD 北大核心 2006年第12期213-214,共2页
介绍了一种设计基于AMBA总线的DMA控制器IP核的方法。用硬件描述语言(VHDL)来设计实现挂接在AMBA AHB总线上的DMA控制器,并通过可编程逻辑器件(FPGA)完成对设计的验证,最终形成可复用的IP软核,用到ASIC或FPGA中。
关键词 dma控制器 AMBA总线 IP VHDL
下载PDF
一种基于Nios Ⅱ/DMA的TFT-LCD控制器IP核设计 被引量:2
11
作者 宋跃 程博 雷瑞庭 《仪表技术与传感器》 CSCD 北大核心 2014年第6期147-149,共3页
为设计AT070TN84 TFT液晶显示控制器,采用IP核的设计方法,用可编程逻辑器件FPGA,结合DMA技术,设计了Nios Ⅱ下的TFT液晶的控制器IP核,给出了硬件设计和软件编程测试的方法。该控制器能实现分辨率为800×480,颜色深度为16 bit的彩色... 为设计AT070TN84 TFT液晶显示控制器,采用IP核的设计方法,用可编程逻辑器件FPGA,结合DMA技术,设计了Nios Ⅱ下的TFT液晶的控制器IP核,给出了硬件设计和软件编程测试的方法。该控制器能实现分辨率为800×480,颜色深度为16 bit的彩色图形显示。试验结果表明系统稳定可靠,使用方便,显示清晰,色彩丰富,具有较强的实用性和通用性。文中在讲述控制器设计原理、实现方法、仿真与实验过程的同时,对IP核的各设计环节进行了重点介绍。 展开更多
关键词 SOPC 液晶控制器 IP核 dma
下载PDF
基于SystemC的可配置多通道DMA控制器的设计与实现 被引量:3
12
作者 陈双燕 王东辉 侯朝焕 《微电子学与计算机》 CSCD 北大核心 2007年第5期48-51,共4页
随着集成电路技术的快速发展,处理器的速度越来越快,存储器的性能越来越好,单芯片上集成的功能部件越来越多,但是处理器跟存储器之间以及存储器跟外设之间的瓶颈却越来越严重。直接存储器存取(Direct Memory Access,DMA)方式是大多数处... 随着集成电路技术的快速发展,处理器的速度越来越快,存储器的性能越来越好,单芯片上集成的功能部件越来越多,但是处理器跟存储器之间以及存储器跟外设之间的瓶颈却越来越严重。直接存储器存取(Direct Memory Access,DMA)方式是大多数处理器中普遍采用的提高数据传输速率的方法之一。在分析了多种高性能处理器中DMA控制器工作原理的基础上,采用系统级设计语言SystemC,设计并实现了一个多功能的可配置多通道DMA控制器。 展开更多
关键词 dma控制器 多通道 SYSTEMC
下载PDF
基于PCI Core的链式DMA控制器设计 被引量:4
13
作者 张浩 徐宁仪 周祖成 《电子技术应用》 北大核心 2005年第3期11-13,共3页
介绍一种基于PCI总线的高效链式DMA控制器的设计与实现,用于高速宽带的计算机外设接口。利用Altera公司的PCI核——PCI_MT32搭建基于此控制器的数据采集平台,并成功应用在DVB数据接收卡中。
关键词 基于PC dma控制器 总线 接口 计算机外设 PCI 数据采集 DVB 数据接收卡 宽带
下载PDF
MPEG-2解码芯片的AMBA总线和DMA控制器设计 被引量:1
14
作者 高勇 郭冬玉 杨媛 《计算机工程与应用》 CSCD 北大核心 2009年第26期68-71,77,共5页
MPEG-2是目前应用最广泛的数字音视频编码的国际标准,采用Top-Down的方法,完成了MPEG-2解码系统芯片中的AMBA(Advanced Microcontroller Bus Architecture)总线和DMA(Direct Memory Access)控制器的RTL级代码设计,并搭建测试平台进行功... MPEG-2是目前应用最广泛的数字音视频编码的国际标准,采用Top-Down的方法,完成了MPEG-2解码系统芯片中的AMBA(Advanced Microcontroller Bus Architecture)总线和DMA(Direct Memory Access)控制器的RTL级代码设计,并搭建测试平台进行功能仿真,最后通过了FPGA的验证。测试结果表明该设计能够在150MHz频率下工作,满足数字电视标清和高清的标准要求。 展开更多
关键词 MPEG-2 AMBA总线 dma控制器
下载PDF
基于AMBA总线的DMA控制器设计 被引量:4
15
作者 张军 马琪 《科技通报》 北大核心 2011年第2期268-271,共4页
给出了基于AMBA2.0总线的DMA控制器的RTL级设计,并搭建了SoC仿真平台进行功能仿真,最后进行了FPGA原型验证。在100MHz的工作频率下,仿真和验证结果表明,本设计可以满足功能和性能的要求。
关键词 SOC设计 AMBA总线 dma控制器
下载PDF
DMA控制器的一种硬件验证方法 被引量:2
16
作者 刘功杰 李暾 《计算机工程与科学》 CSCD 北大核心 2009年第A01期31-33,36,共4页
在微处理器或SOC芯片设计过程中,DMA控制器的硬件仿真验证是难以解决的问题之一,因为DMA控制器与包括微处理器核和内存控制器在内的几乎所有部件都有数据通信,如将所有部件集成后进行仿真测试,则一旦发现错误后,定位错误会非常困难。本... 在微处理器或SOC芯片设计过程中,DMA控制器的硬件仿真验证是难以解决的问题之一,因为DMA控制器与包括微处理器核和内存控制器在内的几乎所有部件都有数据通信,如将所有部件集成后进行仿真测试,则一旦发现错误后,定位错误会非常困难。本文为此提出了一种简化测试模型和验证方法,可以有效解决上述问题。 展开更多
关键词 dma控制器 硬件验证
下载PDF
基于FAS466存储系统的DMA控制器设计 被引量:2
17
作者 盛翠霞 张涛 《长春理工大学学报(自然科学版)》 2007年第1期44-46,共3页
为了满足高帧频、大面阵CCD相机数字视频实时存储要求,设计出基于SCSI协议处理器-FAS466,脱离计算机平台的图像数据直接存储系统。利用VerilogHDL语言对FPGA芯片编程实现外部微处理器及DMA控制器功能,从而协调SCSI协议处理器实现数据的... 为了满足高帧频、大面阵CCD相机数字视频实时存储要求,设计出基于SCSI协议处理器-FAS466,脱离计算机平台的图像数据直接存储系统。利用VerilogHDL语言对FPGA芯片编程实现外部微处理器及DMA控制器功能,从而协调SCSI协议处理器实现数据的存储。本文着重介绍了利用FPGA芯片设计DMA控制器。由于FPGA芯片的内部速度很高,因此与单独使用微处理器芯片及DMA控制器芯片相比,不仅使得系统设计更加灵活,传输性能更好及反应时间更快,而且减小了存储设备的体积。 展开更多
关键词 SCSI协议处理器-FAS466 FPGA 外部微处理器 dma控制器 FIFO
下载PDF
嵌入式SoC中的DMA控制器的设计与优化 被引量:11
18
作者 史昕蕾 杨军 陆生礼 《电子工程师》 2004年第1期5-7,共3页
当前 ,嵌入式微处理器已从单一功能转向集成更多功能的片上系统 (SoC)。新增和改进功能往往意味着大量的数据传输 ,使得I O设备和存储器之间的数据交换成为新的瓶颈 ,直接存储器存取 (DMA)技术可以有效地缓解这一瓶颈并提高数据传输效... 当前 ,嵌入式微处理器已从单一功能转向集成更多功能的片上系统 (SoC)。新增和改进功能往往意味着大量的数据传输 ,使得I O设备和存储器之间的数据交换成为新的瓶颈 ,直接存储器存取 (DMA)技术可以有效地缓解这一瓶颈并提高数据传输效率。文中主要介绍一种嵌入式SoC中的DMA控制器的设计 ,分析了DMA控制器在一个具体应用中的运行性能 ,并在原有基础上进行了优化 ,根据部分外设的数据吞吐量提出了DMA与AC97控制器之间的专用通道思想 ,实现音频数据的实时传输 ,以满足系统需求 ,并给出了实验数据。 展开更多
关键词 嵌入式微处理器 SOC 片上系统 直接存储器存取 dma控制器
下载PDF
嵌入式音频处理器中DMA控制器的设计 被引量:1
19
作者 王俊 应忍冬 《信息技术》 2011年第3期42-46,共5页
高性能的DMA控制器是音视频等多媒体处理器的重要组成部分。通过分析DMA控制器在嵌入式音频处理HiPAP中担负的数据传输任务及数据特点,设计了面向AMBA AHB总线的双通道高性能的DMA控制器。在FPGA平台上的实际运行结果显示,该DMA控制器... 高性能的DMA控制器是音视频等多媒体处理器的重要组成部分。通过分析DMA控制器在嵌入式音频处理HiPAP中担负的数据传输任务及数据特点,设计了面向AMBA AHB总线的双通道高性能的DMA控制器。在FPGA平台上的实际运行结果显示,该DMA控制器的数据传输性能比使用CPU至少提升了45%。 展开更多
关键词 dma 控制器 AHB
下载PDF
一种应用于网卡芯片的DMA控制器设计 被引量:6
20
作者 曾明 《中国集成电路》 2018年第4期45-50,共6页
针对以太网接口对数据传输性能提升的需求,本文提出了一个基于描述符结构的DMA控制器设计方案。本设计采用描述符链表来控制数据传输,减少了CPU传输数据过程中的工作量;通过多通道设计,提高了DMA传输并行度和系统工作效率。
关键词 dma控制器 描述符 多通道
下载PDF
上一页 1 2 8 下一页 到第
使用帮助 返回顶部