期刊文献+
共找到54篇文章
< 1 2 3 >
每页显示 20 50 100
基于UVM的AHB总线SRAM控制器设计和验证 被引量:1
1
作者 梁光胜 李朝洋 +1 位作者 梁兆楷 杨松 《集成电路应用》 2023年第6期51-53,共3页
阐述AHB总线的SARM控制器运行原理和特点,以System Verilog为验证语言,VCS和DVE为仿真软件,搭建了基于UVM的通用验证平台,针对待测模块设计随机化测试用例,给出基于UVM的AHB总线SRAM控制器的验证结果,检测UVM验证平台的重用性、可移植... 阐述AHB总线的SARM控制器运行原理和特点,以System Verilog为验证语言,VCS和DVE为仿真软件,搭建了基于UVM的通用验证平台,针对待测模块设计随机化测试用例,给出基于UVM的AHB总线SRAM控制器的验证结果,检测UVM验证平台的重用性、可移植性和可靠性。 展开更多
关键词 UVM验证方法 ahb总线 静态随机存取存储器 System Verilog VCS
下载PDF
基于AHB总线的单极性倍频SPWM发生器IP核设计
2
作者 芮天喆 曾庆立 赫忠天 《现代信息科技》 2023年第21期54-57,62,共5页
针对电力电子领域对正弦脉冲宽度调制波形的需求,基于西安智多晶微电子生产的SA5Z系列国产FPGA平台,提出一种由Cortex-M3内核通过AHB总线控制的单极性倍频SPWM调制IP核,其内部具有三角波发生器与正弦波发生器,通过数字化自然采样法比较... 针对电力电子领域对正弦脉冲宽度调制波形的需求,基于西安智多晶微电子生产的SA5Z系列国产FPGA平台,提出一种由Cortex-M3内核通过AHB总线控制的单极性倍频SPWM调制IP核,其内部具有三角波发生器与正弦波发生器,通过数字化自然采样法比较基波与载波生成的SPWM波形,并在波形中插入死区后生成互补波形输出。通过ModelSim软件仿真了IP核内部运行状况,采用示波器观测了实际输出信号。分析、测试表明该IP核效果良好,可以通过配置相关寄存器灵活地输出带有死区、互补输出的单极性倍频SPWM波形,体现出FPGA在电源控制领域的优势。 展开更多
关键词 单极性倍频SPWM 国产FPGA ahb总线
下载PDF
SoC芯片上AHB总线矩阵的设计及验证
3
作者 朱峰 刘威 《信息技术》 2023年第3期1-5,12,共6页
随着SoC的复杂度不断提高,通过集成IP核的设计方式能够加快芯片设计的周期,同时由于总线上主从设备的增加,有必要基于AHB总线设计一款高速互连的AHB总线矩阵,在AHB multi layer的基础上,将仲裁模块改为由内部寄存模块接收信息后,再由从... 随着SoC的复杂度不断提高,通过集成IP核的设计方式能够加快芯片设计的周期,同时由于总线上主从设备的增加,有必要基于AHB总线设计一款高速互连的AHB总线矩阵,在AHB multi layer的基础上,将仲裁模块改为由内部寄存模块接收信息后,再由从机端完成对各个主机发送过来的信号信息进行仲裁。同时还加入了防死锁模块,从而实现了能够根据预设的计数阈值判断AHB是否发生死锁的功能;最后通过AHB总线接口的验证IP搭建UVM仿真测试环境,对所设计的总线系统基本功能进行了测试,实现了单master访问多个slave和多个master对多个slave并行访问的功能。 展开更多
关键词 ahb总线矩阵 交叉互联 片上系统 并行访问 UVM
下载PDF
基于AHB总线的嵌入式中断控制器设计 被引量:3
4
作者 晏敏 戴荣新 +3 位作者 蔡益军 徐欢 郑乾 程呈 《计算机工程》 CAS CSCD 2014年第6期1-4,共4页
针对嵌入式系统集成度高、专用性强的特点,设计一种基于AHB总线的嵌入式中断控制器。采用AHB总线接口,增强中断控制器的通用性和可移植性,ARM处理器通过AHB总线访问中断寄存器,实现中断检测、响应、处理以及优先级的配置。该设计采用ver... 针对嵌入式系统集成度高、专用性强的特点,设计一种基于AHB总线的嵌入式中断控制器。采用AHB总线接口,增强中断控制器的通用性和可移植性,ARM处理器通过AHB总线访问中断寄存器,实现中断检测、响应、处理以及优先级的配置。该设计采用verilog-HDL语言编写,利用SMIC的0.18μm CMOS工艺进行逻辑电路综合和布局布线。测试结果表明,在正常工作条件下,该中断控制器的功耗为5.36 mW,在50 MHz时钟下完成一次中断操作最多需要0.7μs,可满足实时性和低功耗的要求。 展开更多
关键词 嵌入式系统 ahb总线 中断控制器 优先级 实时性 低功耗
下载PDF
事务级AHB总线模型研究 被引量:2
5
作者 马秦生 曹阳 +1 位作者 杨珺 张宁 《计算机应用研究》 CSCD 北大核心 2009年第9期3246-3248,共3页
为了克服RTL级AHB总线模型的局限性,提出了采用标准C++扩充的软硬件统一建模语言SystemC构建事务级的AHB总线模型的方法。该方法利用端口来连接模块和通道,利用通道来实现接口中定义的方法。经仿真验证,时序完全符合AHB总线标准,运行速... 为了克服RTL级AHB总线模型的局限性,提出了采用标准C++扩充的软硬件统一建模语言SystemC构建事务级的AHB总线模型的方法。该方法利用端口来连接模块和通道,利用通道来实现接口中定义的方法。经仿真验证,时序完全符合AHB总线标准,运行速度远高于RTL级下的同类模型。该模型可以有效地克服软硬件协同设计中的时间瓶颈,提高SoC的设计效率,降低设计复杂度,缩短芯片产品的上市时间。 展开更多
关键词 片上系统 ahb总线 事务级 建模
下载PDF
基于AHB总线的灵活可配置WLAN芯片架构设计 被引量:1
6
作者 潘志鹏 吴斌 +1 位作者 杨坤 叶甜春 《电子技术应用》 北大核心 2012年第7期58-60,64,共4页
根据无线通信芯片不同协议版本以及不同场景的应用需求,提出了一种针对系列无线局域网SoC、基于AHB总线的灵活可配置通用总线体系架构。介绍了该总线的系统架构和关键模块的设计,重点分析了该结构的可扩展性、可重用性等优点。将其应用... 根据无线通信芯片不同协议版本以及不同场景的应用需求,提出了一种针对系列无线局域网SoC、基于AHB总线的灵活可配置通用总线体系架构。介绍了该总线的系统架构和关键模块的设计,重点分析了该结构的可扩展性、可重用性等优点。将其应用于实际WLAN芯片的SoC架构设计中,实际原型系统表明该总线架构功能完全符合无线通信SoC的系统要求,并具有高度灵活可扩展、高度可重用的总线特征。 展开更多
关键词 ahb总线 WLAN 可扩展性 可重用性
下载PDF
AHB总线仲裁器的设计 被引量:3
7
作者 李玲 王祖强 《电子工程师》 2005年第1期23-25,共3页
介绍了AHB总线仲裁信号,对其仲裁机制和仲裁过程进行了详细的说明。在MAX+plusⅡ软件平台上,采用自顶向下的设计方法,将整个设计分为3个模块,底层模块使用甚高速集成电路硬件描述语言(VHDL)设计,然后包装入库,顶层文件采用原理图输入法... 介绍了AHB总线仲裁信号,对其仲裁机制和仲裁过程进行了详细的说明。在MAX+plusⅡ软件平台上,采用自顶向下的设计方法,将整个设计分为3个模块,底层模块使用甚高速集成电路硬件描述语言(VHDL)设计,然后包装入库,顶层文件采用原理图输入法,实现AHB总线仲裁器的设计,并给出仿真结果。 展开更多
关键词 ahb总线 仲裁器 SOC MAX+plusⅡ
下载PDF
AHB总线分析及从模块设计 被引量:3
8
作者 刘林海 《无线电通信技术》 2007年第3期35-38,共4页
AMBA总线结构广泛应用于片上系统设计中,其中AHB总线用于系统中高性能、高时钟速率模块间通信。AHB总线接口设计技术是片上系统设计的基本技术。AHB总线接口设计划分为主控模块接口设计及从模块接口设计。在详细论述AHB总线工作原理后,... AMBA总线结构广泛应用于片上系统设计中,其中AHB总线用于系统中高性能、高时钟速率模块间通信。AHB总线接口设计技术是片上系统设计的基本技术。AHB总线接口设计划分为主控模块接口设计及从模块接口设计。在详细论述AHB总线工作原理后,重点介绍了SRAM从模块AHB接口设计,包括SRAM读写控制信号的时序要求,传输操作时插入等待状态的方法,以及响应信号的产生。 展开更多
关键词 ahb总线 从模块接口 片上SRAM设计
下载PDF
基于AHB总线结构的master切换策略
9
作者 韩少男 黄璐 李晓江 《微电子学与计算机》 CSCD 北大核心 2010年第7期233-236,241,共5页
通过分析基于AHB总线结构的master间传统的切换方法,提出了三种新的基于AHB总线结构的master间的切换方法,并给出了这些方法的关键电路的设计和仿真比较结果.对四种AHB总线master间的切换方法进行仿真,比较了各种方法的优劣,为在各种不... 通过分析基于AHB总线结构的master间传统的切换方法,提出了三种新的基于AHB总线结构的master间的切换方法,并给出了这些方法的关键电路的设计和仿真比较结果.对四种AHB总线master间的切换方法进行仿真,比较了各种方法的优劣,为在各种不同条件下,选择适当的master间的切换方法提供了详细依据. 展开更多
关键词 ahb总线 master间切换 AMBA总线结构 wrap电路 VERA测试
下载PDF
一种兼容AHB总线的Nor Flash控制器IP设计 被引量:7
10
作者 田鹏 聂泽东 +2 位作者 张正平 王文丞 王磊 《微电子学与计算机》 CSCD 北大核心 2013年第6期88-91,96,共5页
为满足医学系统芯片(SOC)的低成本、低功耗、微型化的需求,定制了一款兼容AHB总线接口的NorFlash控制器IP.该设计针对常规Flash控制器功能繁杂,读写数据需长时间等待等缺点,采用了硬件解锁、简化块擦除模块和增加写操作数据寄存器等优... 为满足医学系统芯片(SOC)的低成本、低功耗、微型化的需求,定制了一款兼容AHB总线接口的NorFlash控制器IP.该设计针对常规Flash控制器功能繁杂,读写数据需长时间等待等缺点,采用了硬件解锁、简化块擦除模块和增加写操作数据寄存器等优化设计方法.该设计最后进行了FPGA原型验证并进行了流片,验证测试结果表明,该IP功能正确,总线的利用率得到了提高.在系统时钟10MHz下,选用S29L V008J Nor Flash芯片,按连续存储16个32位数据计算,本设计比常规设计减少总线占用时间165μs,设计达到了预期结果. 展开更多
关键词 ahb总线 NOR FLASH 控制器 IP
下载PDF
基于AHB总线的USB2.0总线接口单元设计
11
作者 李俊 刘卫东 《电脑知识与技术》 2012年第9期6140-6143,6151,共5页
介绍了基于AMBAAHB总线USB2.0总线接口单元(BIU)的设计。首先概要介绍了USB2.0和AMBAAHB这两种总线协议数据传输的特点,重点介绍了USB总线与AHB总线间的总线接口单元的模块设计。最后通过验证,证明接口符合AHB协议,且能完成所要... 介绍了基于AMBAAHB总线USB2.0总线接口单元(BIU)的设计。首先概要介绍了USB2.0和AMBAAHB这两种总线协议数据传输的特点,重点介绍了USB总线与AHB总线间的总线接口单元的模块设计。最后通过验证,证明接口符合AHB协议,且能完成所要求的所有功能。该IP针对usBMassstorage的运用,需要处理大量的突发数据,所以通过设计DMA控制器,大大提高了数据传输率和系统效率。 展开更多
关键词 USB 2.0 AMBA ahb总线 总线接口单元 DMA控制器 ARM处理器
下载PDF
基于AHB总线接口的可重用性验证环境的实现 被引量:3
12
作者 文良 靳荣利 +1 位作者 吴龙胜 刘佑宝 《微电子学与计算机》 CSCD 北大核心 2011年第7期202-204,共3页
文中提出了一种基于AHB总线接口的可重用性验证环境的实现方法.该方法是基于Verisity的eRM(eReused Methodology),通过增加配置的环境变量和eVC文件,并利用脚本自动化生成验证的基本框架,在验证不同的设计时只需根据实际协议扩展该基本... 文中提出了一种基于AHB总线接口的可重用性验证环境的实现方法.该方法是基于Verisity的eRM(eReused Methodology),通过增加配置的环境变量和eVC文件,并利用脚本自动化生成验证的基本框架,在验证不同的设计时只需根据实际协议扩展该基本验证环境框架即可.实现了各种基于AHB总线接口的验证环境的可重用性,提高了验证效率. 展开更多
关键词 SOC验证 ahb总线 EVC 验证环境重用
下载PDF
基于AMBA的AHB总线矩阵设计 被引量:7
13
作者 王一楠 林涛 余宁梅 《微电子学与计算机》 北大核心 2019年第2期73-77,共5页
本文设计了一种能够兼容AMBA主从设备的AHB总线矩阵,旨在实现多个主设备并行访问多个从设备,并且提高带宽,减少多路主机访问从机时产生的延迟.对主体架构和微架构进行描述,详述了各模块的设计思路,并通过Verilog HDL对所设计的总线系统... 本文设计了一种能够兼容AMBA主从设备的AHB总线矩阵,旨在实现多个主设备并行访问多个从设备,并且提高带宽,减少多路主机访问从机时产生的延迟.对主体架构和微架构进行描述,详述了各模块的设计思路,并通过Verilog HDL对所设计的总线系统进行了RTL行为级描述,并通过参数化设计,实现从机地址和总线支持主从机数量可配.最后搭建测试环境,对所设计的总线系统基本功能进行测试,证明8组主设备输入的情况下,在多主设备交叉访问多从设备的测试条件下,相比于传统AHB总线,AHB总线矩阵最多可减少3倍总线访问延迟、增加8.5倍总线吞吐量. 展开更多
关键词 AMBA总线协议 并行访问 ahb总线矩阵
下载PDF
基于SoC平台的AHB总线系统研究 被引量:1
14
作者 杨玥 陈禾 《现代电子技术》 2006年第6期67-69,共3页
针对SoC设计中的IP核复用问题提出了一种基于平台的解决办法。传统方法是将特定功能模块的非标准接口标准化为AHB主/从设备接口,但是这种方法设计效率较低,不便将模块有效地嵌入SoC平台。新的方法是基于ARMSoC通用平台设计寄存器总线标... 针对SoC设计中的IP核复用问题提出了一种基于平台的解决办法。传统方法是将特定功能模块的非标准接口标准化为AHB主/从设备接口,但是这种方法设计效率较低,不便将模块有效地嵌入SoC平台。新的方法是基于ARMSoC通用平台设计寄存器总线标准接口,这种设计使整个系统的结构清晰,设计好的寄存器总线接口可增强系统的通用性,增强了系统中功能模块的可移植性。 展开更多
关键词 SOC IP核 ahb总线 主/从设备 总线接口
下载PDF
一种低功耗高效率的双向AXI2AHB总线桥设计与实现 被引量:4
15
作者 焦龙涛 高欣 《无线电通信技术》 2017年第2期78-82,共5页
为了方便不同IP(intellectual property)核之间通信,针对广泛使用的AXI与AHB这2种总线,提出了一种低功耗高效率的双向AXI2AHB总线桥的设计与实现方法。介绍了这2种总线协议的特点,阐述了AXI2AHB总线桥的设计结构和实现方法,并采用多事... 为了方便不同IP(intellectual property)核之间通信,针对广泛使用的AXI与AHB这2种总线,提出了一种低功耗高效率的双向AXI2AHB总线桥的设计与实现方法。介绍了这2种总线协议的特点,阐述了AXI2AHB总线桥的设计结构和实现方法,并采用多事务处理、写数据预读策略以及低功耗优化技术。使用Verilog语言在modelsim工具下进行了验证,仿真结果表明该总线桥能较大提高So C芯片的系统带宽利用率并降低芯片产生的功耗。 展开更多
关键词 AXI总线 ahb总线 总线 双向 低功耗
下载PDF
一种基于AHB总线的DESIP核设计 被引量:1
16
作者 柳沐璇 张树丹 唐彩彬 《微电子学与计算机》 CSCD 北大核心 2014年第10期69-71,共3页
设计了一款带有通用AHB总线从机接口的DES IP核,能在500MHz频率的总线下很好地工作,DES模式下加、解密转换速率可达到1.6Gb/s,3DES模式下加、解密转换速率可达到615Mb/s.用VCS软件仿真并用DC软件综合后结果均符合设计要求.
关键词 ahb总线 DES算法 IP核
下载PDF
基于AHB总线的RISC-V微处理器设计与实现 被引量:14
17
作者 郝振和 焦继业 李雨倩 《计算机工程与应用》 CSCD 北大核心 2020年第20期52-58,共7页
在嵌入式应用中,为了满足小面积低功耗的设计需求,设计了一种支持RISC-V指令集架构的微处理器,系统采用2级流水结构,实现了RV32IMAC指令集。处理器采用AHB总线作为片上互连总线,可方便调用外部IP核进行功能拓展。在VCS环境下验证了该微... 在嵌入式应用中,为了满足小面积低功耗的设计需求,设计了一种支持RISC-V指令集架构的微处理器,系统采用2级流水结构,实现了RV32IMAC指令集。处理器采用AHB总线作为片上互连总线,可方便调用外部IP核进行功能拓展。在VCS环境下验证了该微处理器的逻辑功能,仿真结果表明该微处理器能够正常稳定运行。在面积、功耗和性能等方面与蜂鸟E203处理器以及ARM Cortex-M系列处理器进行了对比,该设计比蜂鸟E203处理器面积小了6%,功耗和性能上与Cortex-M0处理器相当。分析结果表明该处理器较适合在小面积、低功耗的嵌入式应用领域进行开发。 展开更多
关键词 嵌入式微处理器 RISC-V ahb总线 IP核
下载PDF
AHB总线接口的一种新实现方案 被引量:2
18
作者 马天翊 薛萍 马卫国 《电子技术应用》 北大核心 2007年第2期47-50,共4页
针对标准AHB总线对具有特定访问时序的设备数据传输效率较低的情况,提出一种新的实现方案。利用AHB总线突发传输时的组合信息,根据某种算法生成地址和控制信号,以提高慢速设备的总线访问效率。
关键词 ahb总线 突发传输
下载PDF
基于AHB总线的串行PSRAM高速访问设计 被引量:1
19
作者 杨园格 《科学技术创新》 2020年第31期98-99,共2页
随着信息科技的发展,需要缓存大量的数据,IoT芯片内部的SRAM存储资源需求也逐渐变大。SRAM价格较高、容量相对较小,在芯片设计中会限制SRAM的面积,而PSRAM存储技术正好弥补这些不足,使其得到广泛应用。由此,本文设计了基于AHB的串行PSRA... 随着信息科技的发展,需要缓存大量的数据,IoT芯片内部的SRAM存储资源需求也逐渐变大。SRAM价格较高、容量相对较小,在芯片设计中会限制SRAM的面积,而PSRAM存储技术正好弥补这些不足,使其得到广泛应用。由此,本文设计了基于AHB的串行PSRAM高速访问方案,可实现对SQPI接口的PSRAM不同模式的控制,高效的完成读写操作。该设计经过了仿真验证以及FPGA验证测试,可实现对PSRAM的访问需求。 展开更多
关键词 ahb总线 PSRAM SQPI接口 FPGA验证
下载PDF
基于AHB总线的快速并行CRC算法设计与实现 被引量:4
20
作者 史兴强 刘梦影 《电子与封装》 2017年第7期11-16,共6页
循环冗余校验(CRC,Cyclic Redundancy Check)以其简单的算法、强大的检错能力和抗干扰能力,广泛应用于通信领域,以提高数据传输的可靠性。为满足高频率的数据传输要求,基于CRC基本原理,介绍了一种快速并行CRC算法,然后采用该算法基于高... 循环冗余校验(CRC,Cyclic Redundancy Check)以其简单的算法、强大的检错能力和抗干扰能力,广泛应用于通信领域,以提高数据传输的可靠性。为满足高频率的数据传输要求,基于CRC基本原理,介绍了一种快速并行CRC算法,然后采用该算法基于高级高性能(AHB,Advanced High Performance Bus)总线,运用硬件描述语言Verilog HDL设计并实现了CRC计算模块。仿真结果表明,该算法能够在确保数据可靠性的同时提高CRC的计算速度。 展开更多
关键词 CRC 快速 并行 ahb总线
下载PDF
上一页 1 2 3 下一页 到第
使用帮助 返回顶部