期刊文献+
共找到1,130篇文章
< 1 2 57 >
每页显示 20 50 100
Wedge-shaped HfO_(2) buffer layer-induced field-free spin-orbit torque switching of HfO_(2)/Pt/Co structure
1
作者 陈建辉 梁梦凡 +4 位作者 宋衍 袁俊杰 张梦旸 骆泳铭 王宁宁 《Chinese Physics B》 SCIE EI CAS CSCD 2024年第4期662-667,共6页
Field-free spin-orbit torque(SOT)switching of perpendicular magnetization is essential for future spintronic devices.This study demonstrates the field-free switching of perpendicular magnetization in an HfO_(2)/Pt/Co/... Field-free spin-orbit torque(SOT)switching of perpendicular magnetization is essential for future spintronic devices.This study demonstrates the field-free switching of perpendicular magnetization in an HfO_(2)/Pt/Co/TaO_(x) structure,which is facilitated by a wedge-shaped HfO_(2)buffer layer.The field-free switching ratio varies with HfO_(2)thickness,reaching optimal performance at 25 nm.This phenomenon is attributed to the lateral anisotropy gradient of the Co layer,which is induced by the wedge-shaped HfO_(2)buffer layer.The thickness gradient of HfO_(2)along the wedge creates a corresponding lateral anisotropy gradient in the Co layer,correlating with the switching ratio.These findings indicate that field-free SOT switching can be achieved through designing buffer layer,offering a novel approach to innovating spin-orbit device. 展开更多
关键词 spin-orbit torque field-free switching HfO_(2) buffer layer
下载PDF
Influence of the lattice parameter of the AlN buffer layer on the stress state of GaN film grown on(111)Si
2
作者 张臻琢 杨静 +3 位作者 赵德刚 梁锋 陈平 刘宗顺 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第2期493-498,共6页
GaN films grown on(111)Si substrate with different lattice parameters of the AlN buffer layer by metal–organic chemical vapor deposition are studied.The stress states obtained by different test methods are compared a... GaN films grown on(111)Si substrate with different lattice parameters of the AlN buffer layer by metal–organic chemical vapor deposition are studied.The stress states obtained by different test methods are compared and it is found that the lattice parameter of the AlN buffer layer may have a significant effect on the stress state in the initial stage of subsequent GaN film growth.A larger compressive stress is beneficial to improved surface morphology and crystal quality of GaN film.The results of further orthogonal experiments show that an important factor affecting the lattice parameter is the growth rate of the AlN buffer layer.This work may be helpful for realizing simple GaN-on-Si structures and thus reducing the costs of growth processes. 展开更多
关键词 GAN Si substrate AlN buffer layer stress control
下载PDF
In-situ constructed SnO_(2) gradient buffer layer as a tight and robust interphase toward Li metal anodes in LATP solid state batteries
3
作者 Lifan Wang Leiying Wang +5 位作者 Qinlin Shi Cong Zhong Danya Gong Xindong Wang Chun Zhan Guicheng Liu 《Journal of Energy Chemistry》 SCIE EI CAS CSCD 2023年第5期89-98,I0003,共11页
Li_(1.3)Al_(0.3)Ti_(1.7)(PO_(4))_(3)(LATP),of much interest owing to its high ionic conductivity,superior air stability,and low cost,has been regarded as one of the most promising solid-state electrolytes for next-gen... Li_(1.3)Al_(0.3)Ti_(1.7)(PO_(4))_(3)(LATP),of much interest owing to its high ionic conductivity,superior air stability,and low cost,has been regarded as one of the most promising solid-state electrolytes for next-generation solid-state lithium batteries(SSLBs).Unfortunately,the commercialization of SSLBs is still impeded by severe interfacial issues,such as high interfacial impedance and poor chemical stability.Herein,we proposed a simple and convenient in-situ approach to constructing a tight and robust interface between the Li anode and LATP electrolyte via a SnO_(2)gradient buffer layer.It is firmly attached to the surface of LATP pellets due to the volume expansion of SnO_(2)when in-situ reacting with Li metal,and thus effectively alleviates the physical contact loosening during cycling,as confirmed by the mitigated impedance rising.Meanwhile,the as-formed SnO_(2)/Sn/LixSn gradient buffer layer with low electronic conductivity successfully protects the LATP electrolyte surface from erosion by the Li metal anode.Additionally,the LixSn alloy formed at the Li surface can effectively regulate uniform lithium deposition and suppress Li dendrite growth.Therefore,this work paves a new way to simultaneously address the chemical instability and poor physical contact of LATP with Li metal in developing low-cost and highly stable SSLBs. 展开更多
关键词 Li_(1.3)Al_(0.3)Ti_(1.7)(PO_(4))_(3) All-solid-state lithium batteries Interfacial issues SnO_(2)gradient buffer layer Tight and robust interface
下载PDF
Simulation Study of CuO-Based Solar Cell with Different Buffer Layers Using SCAPS-1D
4
作者 Towhid Adnan Chowdhury 《Energy and Power Engineering》 2023年第9期307-314,共8页
In copper oxide (CuO) based solar cells, various buffer layers such as CdS, In<sub>2</sub>S<sub>3</sub>, WS<sub>2</sub> and IGZO have been investigated by solar cell capacitance sim... In copper oxide (CuO) based solar cells, various buffer layers such as CdS, In<sub>2</sub>S<sub>3</sub>, WS<sub>2</sub> and IGZO have been investigated by solar cell capacitance simulator (SCAPS) in this work. By varying absorber and buffer layer thickness, photovoltaic parameters (open circuit voltage, fill factor, short-circuit current density and efficiency) are determined. The highest efficiency achieved is 19.6% with WS<sub>2</sub> buffer layer. The impact of temperature on all CuO-based solar cells is also investigated. 展开更多
关键词 Solar cell buffer layer EFFICIENCY Hetero-Junction Scaps-1D
下载PDF
Improved performance of polymer solar cells by using inorganic, organic, and doped cathode buffer layers 被引量:4
5
作者 王桃红 陈长博 +3 位作者 郭坤平 陈果 徐韬 魏斌 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第3期428-433,共6页
The interface between the active layer and the electrode is one of the most critical factors that could affect the device performance of polymer solar cells. In this work, based on the typical poly(3-hexylthiophene)... The interface between the active layer and the electrode is one of the most critical factors that could affect the device performance of polymer solar cells. In this work, based on the typical poly(3-hexylthiophene):[6,6]-phenyl C61-butyric acid methyl ester (P3HT:PCBM) polymer solar cell, we studied the effect of the cathode buffer layer (CBL) between the top metal electrode and the active layer on the device performance. Several inorganic and organic materials commonly used as the electron injection layer in an organic light-emitting diode (OLED) were employed as the CBL in the P3HT:PCBM polymer solar cells. Our results demonstrate that the inorganic and organic materials like Cs2CO3, bathophenanthroline (Bphen), and 8-hydroxyquinolatolithium (Liq) can be used as CBL to efficiently improve the device performance of the P3HT:PCBM polymer solar cells. The P3HT:PCBM devices employed various CBLs possess power conversion efficiencies (PCEs) of 3.0%-3.3%, which are ca. 50% improved compared to that of the device without CBL. Furthermore, by using the doped organic materials Bphen:Cs2CO3 and Bphen:Liq as the CBL, the PCE of the P3HT:PCBM device will be further improved to 3.5%, which is ca. 70% higher than that of the device without a CBL and ca. 10% increased compared with that of the devices with a neat inorganic or organic CBL. 展开更多
关键词 polymer solar cell INTERFACE cathode buffer layer MORPHOLOGY
下载PDF
Preparation and characterization of Cd_(1-x)Zn_xS buffer layers for thin film solar cells 被引量:3
6
作者 Tian-Wei Zhang Cheng-Jun Zhu +1 位作者 Chao-Zheng Wang Jian Li 《Rare Metals》 SCIE EI CAS CSCD 2013年第1期47-51,共5页
Cd1_xZnxS (x = 0, 0.1, 0.2, 0.3, 1.0) thin films have been grown successfully on soda-lime glass substrates by chemical bath deposition technique as a very promising buffer layer material for optoelectronic device a... Cd1_xZnxS (x = 0, 0.1, 0.2, 0.3, 1.0) thin films have been grown successfully on soda-lime glass substrates by chemical bath deposition technique as a very promising buffer layer material for optoelectronic device applications. The composition, structural properties, surface morphol- ogy, and optical properties of Cd~_xZnxS thin films were characterized by energy dispersive analysis of X-ray tech- nique (EDAX), X-ray diffraction (XRD), scanning electron microscopy (SEM), and UV-Vis spectrophotometer tech- niques, respectively. The annealed films were observed to possess the deficient sulfur composition. The results of XRD show that the Cdl_xZnxS (x = 0. l) thin film annealed at 450 ~C forms hexagonal (wurtzite) structure with lattice parameters a = 0.408814 nm, c : 0.666059 nm, and its average grain size is 24.9902 nm. The diffraction peaks become strong with the increasing annealing temperatures. The surface of Cdl_~ZnxS (x = 0.1) thin film annealed at 450 ~C is uninterrupted and homogenous as compared to other temperatures. From optical properties, it is observed that the presence of small amount of Zn results in marked changes in the optical band gap of CdS. The band gaps of the Cdl_xZnxS thin films vary from 2.42 to 3.51 eV as composition varies from x = 0.0 to 1.0. 展开更多
关键词 Solar cells buffer layers ChemicalCdl_xZnxS thin films bath deposition
下载PDF
Fabricating Buffer Layers for YBa_2Cu_3O_y Coated Conductor by Surface Oxidation Epitaxy 被引量:2
7
作者 杨坚 刘慧舟 +2 位作者 古宏伟 屈飞 范红雁 《Journal of Rare Earths》 SCIE EI CAS CSCD 2005年第4期514-516,共3页
NiO buffer layers were formed on a tape of Ni for making YBCO coated conductor by surface-oxidation epitaxy (SOE) process. Different oxidizing conditions such as temperature and duration were studied for Ni tapes. I... NiO buffer layers were formed on a tape of Ni for making YBCO coated conductor by surface-oxidation epitaxy (SOE) process. Different oxidizing conditions such as temperature and duration were studied for Ni tapes. It is found that the texture of NiO could be affected directly by the orientation and surface of substrate. X-ray diffraction (XRD) 2-2θ scan, φ-scan, and pole figure were employed to characterize the in-plane alignment and cube texture. X-ray φ-scan shows that NiO film is formed on Ni tape with high cube texture and a typical value at the full width at half maximum (FWHM) is ≤ 7.5°. Scanning electron microscopy was used to study the surface morphology of NiO films. No crack is found and the films appear dense. Such technique is simple and of low cost with perfect reproducibility, promising for developing long tapes. 展开更多
关键词 metal material cube texture surface-oxidation epitaxy NiO buffer layer rare earths
下载PDF
Influence of double AlN buffer layers on the qualities of GaN films prepared by metal-organic chemical vapour deposition 被引量:2
8
作者 林志宇 张进成 +7 位作者 周昊 李小刚 孟凡娜 张琳霞 艾姗 许晟瑞 赵一 郝跃 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第12期403-407,共5页
In this paper we report that the GaN thin film is grown by metal-organic chemical vapour deposition on a sapphire (0001) substrate with double A1N buffer layers. The buffer layer consists of a low-temperature (LT)... In this paper we report that the GaN thin film is grown by metal-organic chemical vapour deposition on a sapphire (0001) substrate with double A1N buffer layers. The buffer layer consists of a low-temperature (LT) A1N layer and a high-temperature (HT) A1N layer that are grown at 600 ℃ and 1000 ℃, respectively. It is observed that the thickness of the LT-A1N layer drastically influences the quality of GaN thin film, and that the optimized 4.25-min-LT-A1N layer minimizes the dislocation density of GaN thin film. The reason for the improved properties is discussed in this paper. 展开更多
关键词 GAN A1N buffer layer metal-organic chemical vapour deposition threading dislocations
下载PDF
Magnetic and Structural Properties in Co/Cu/Co Sandwiches with Ni and Cr Buffer Layers 被引量:2
9
作者 Hollglie SHEN, Tie LI, Qinwo SHEN, Qiang PAN and Shichang ZOU (State Key Laboratory of Functional Materials for Informations and State Key Laboratories of Transducer Technology, Shanghai Institute of Metallurgy, Chinese Academy of Sciences, Shanghai 2000 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2000年第2期195-196,共2页
The magnetic and structural properties in Co/Cu/Co sandwiches with Ni and Cr buffer layers were investigated. It was found that the coercivity in Ni layer buffered samples decreases with increasing Ni layer thickness,... The magnetic and structural properties in Co/Cu/Co sandwiches with Ni and Cr buffer layers were investigated. It was found that the coercivity in Ni layer buffered samples decreases with increasing Ni layer thickness, while that in Cr layer buffered ones increases with increasing Cr layer thickness, leading to a large difference in field sensitivity of their giant magnetoresistance (GMR) properties. X-ray diffraction and high resolution transmission electron microscope images exhibited that there is a strong fcc (111) texture in the samples with Ni buffer layer. But there are only randomly oriented potycrystalline grains in Cr buffered sandwiches. According to atomic force microscope topography, the surface roughness of Cr buffered sandwiches is smaller than that of Ni buffered ones. It is demonstrated that buffer layer influences both magnetic and structural properties in Co/Cu/Co sandwiches as well as their GMR characteristics. 展开更多
关键词 CR CO HRTEM Magnetic and Structural Properties in Co/Cu/Co Sandwiches with Ni and Cr buffer layers CU NI
下载PDF
Effects of ZnO Buffer Layer Thickness on Properties of Mg_xZn_(1-x)O Thin Films Deposited by MOCVD 被引量:1
10
作者 DONG Xin LIU Da-li +4 位作者 DU Guo-tong ZHANG Yuan-tao ZHU Hui-chao YAN Xiao-long GAO Zhong-min 《Chemical Research in Chinese Universities》 SCIE CAS CSCD 2005年第5期583-586,共4页
High-quality MgxZn1-xO thin films were grown on sapphire(0001 ) substrates with a ZnO buffer layer of different thicknesses by means of metal-organic chemical vapor deposition. Diethyl zinc, bis-cyclopentadienyl-Mg ... High-quality MgxZn1-xO thin films were grown on sapphire(0001 ) substrates with a ZnO buffer layer of different thicknesses by means of metal-organic chemical vapor deposition. Diethyl zinc, bis-cyclopentadienyl-Mg and oxygen were used as the precursor materials. The crystalline quality, surface morphologies and optical properties of the Mg, Zn1-xO films were investigated by X-ray diffraction, atomic force microscopy and photoluminescence spectrometry. It was shown that the quality of the MgxZn1-xO thin films depends on the thickness of the ZnO buffer layer and an Mg, Zn1-xO thin film with a ZnO buffer layer whose thickness was 20 nm exhibited the best crystal-quality, optical properties and a flat and dense surface. 展开更多
关键词 MGXZN1-XO ZnO buffer layer Sapphire substrate MOCVD AFM
下载PDF
Effects of Homo-buffer Layer on Properties of Sputter-deposited ZnO Films 被引量:1
11
作者 Jian Huang Linjun Wang Run Xu Weimin Shi Yiben Xia 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2009年第5期691-694,共4页
Two-step growth regimes were applied to realize a homoepitaxial growth of ZnO films on freestanding diamond substrates by radio-frequency (RF) reactive magnetron sputtering method. ZnO buffer layers were deposited o... Two-step growth regimes were applied to realize a homoepitaxial growth of ZnO films on freestanding diamond substrates by radio-frequency (RF) reactive magnetron sputtering method. ZnO buffer layers were deposited on freestanding diamond substrates at a low sputtering power of 50 W, and then ZnO main layers were prepared on this buffer layer at a high sputtering power of 150 W. For comparison, a sample was also deposited directly on freestanding diamond substrate at a power of 150 W. The effects of ZnO buffer layers on the structural, optical, electrical and morphological properties of the ZnO main layer were studied by X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy, semiconductor characterization system and atomic force microscopy (AFM) respectively. The experimental results suggested that homo-buffer layer was helpful to improve the crystalline quality of ZnO/diamond heteroepitaxial films. 展开更多
关键词 Freestanding diamond ZnO film buffer layer Magnetron sputtering
下载PDF
Performance improvement of MEH-PPV:PCBM solar cells using bathocuproine and bathophenanthroline as the buffer layers 被引量:1
12
作者 刘晓东 赵谡玲 +7 位作者 徐征 张福俊 张天慧 龚伟 闫光 孔超 王永生 徐叙瑢 《Chinese Physics B》 SCIE EI CAS CSCD 2011年第6期540-545,共6页
In this work, bathocuproine (BCP) and bathophenanthroline (Bphen), commonly used in small-molecule organic solar cells (OSCs), are adopted as the buffer layers to improve the performance of the polymer solar cel... In this work, bathocuproine (BCP) and bathophenanthroline (Bphen), commonly used in small-molecule organic solar cells (OSCs), are adopted as the buffer layers to improve the performance of the polymer solar cells (PSCs) based on poly(2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene) (MEH-PPV): [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) bulk heterojunction. By inserting BCP or Bphen between the active layer and the top cathode, all the performance parameters are dramatically improved. The power conversion efficiency is increased by about 70% and 120% with 5-am BCP and 12-nm Bphen layers, respectively, when compared with that of the devices without any buffer layer. The performance enhancement is attributed to BCP or Bphen (i) increasing the optical field, and hence the absorption in the active layer, (ii) effectively blocking the excitons generated in MEH-PPV from quenching at organic/aluminum (Al) interface due to the large band-gap of BCP or Bphen, which results in a significant reduction in series resistance (Rs), and (iii) preventing damage to the active layer during the metal deposition. Compared with the traditional device using LiF as the buffer layer, the BCP-based devices show a comparable efficiency, while the Bphen-based devices show a much larger efficiency. This is due to the higher electron mobility in Bphen than that in BCP, which facilitates the electron transport and extraction through the buffer layer to the cathode. 展开更多
关键词 polymer solar cells BATHOCUPROINE bathophenanthroline buffer layer
下载PDF
Effect of double AlN buffer layer on the qualities of GaN films grown by radio-frequency molecular beam epitaxy 被引量:1
13
作者 李新化 钟飞 +2 位作者 邱凯 尹志军 姬长建 《Chinese Physics B》 SCIE EI CAS CSCD 2008年第4期1360-1363,共4页
This paper reports that the GaN thin films with Ga-polarity and high quality were grown by radio-frequency molecular beam epitaxy on sapphire (0001) substrate with a double A1N buffer layer. The buffer layer consist... This paper reports that the GaN thin films with Ga-polarity and high quality were grown by radio-frequency molecular beam epitaxy on sapphire (0001) substrate with a double A1N buffer layer. The buffer layer consists of a high-temperature (HT) A1N layer and a low-temperature (LT) A1N layer grown at 800℃ and 600℃, respectively. It is demonstrated that the HT-A1N layer can result in the growth of GaN epilayer in Ga-polarity and the LT-A1N layer is helpful for the improvement of the epilayer quality. It is observed that the carrier mobility of the GaN epilayer increases from 458 to 858cm^2/V.s at room temperature when the thickness of LT-A1N layer varies from 0 to 20nm. The full width at half maximum of x-ray rocking curves also demonstrates a substantial improvement in the quality of GaN epilavers by the utilization of LT-A1N layer. 展开更多
关键词 Gallium Nitride buffer layer carrier mobility polarity
下载PDF
UV-ozone-treated MoO_3 as the hole-collecting buffer layer for high-efficiency solution-processed SQ:PC_(71) BM photovoltaic devices 被引量:1
14
作者 杨倩倩 杨道宾 +7 位作者 赵谡玲 黄艳 徐征 龚伟 樊星 刘志方 黄清雨 徐叙瑢 《Chinese Physics B》 SCIE EI CAS CSCD 2014年第3期608-612,共5页
The enhanced performance of a squaraine compound, with 2,4-bis[4-(N,N-diisobutylamino)-2,6-dihydroxyphenyl] squaraine as the donor and [6,6]-phenyl-C71-butyric acid methyl ester (PC71BM) as the acceptor, in soluti... The enhanced performance of a squaraine compound, with 2,4-bis[4-(N,N-diisobutylamino)-2,6-dihydroxyphenyl] squaraine as the donor and [6,6]-phenyl-C71-butyric acid methyl ester (PC71BM) as the acceptor, in solution-processed or- ganic photovoltaic devices is obtained by using UV-ozone-treated MoO3 as the hole-collecting buffer layer. The optimized thickness of the MoO3 layer is 8 nm, at which the device shows the best power conversion efficiency (PCE) among all devices, resulting from a balance of optical absorption and charge transport. After being treated by UV-ozone for 10 min, the transmittance of the MoO3 film is almost unchanged. Atomic force microscopy results show that the treated surface morphology is improved. A high PCE of 3.99% under AM 1.5 G illumination (100 mW/cm2) is obtained. 展开更多
关键词 organic photovoltaic devices hole-collecting buffer layer MOO3 UV-ozone
下载PDF
Effect of Al_2O_3 Buffer Layers on the Properties of Sputtered VO_2 Thin Films 被引量:1
15
作者 Dainan Zhang Tianlong Wen +2 位作者 Ying Xiong Donghong Qiu Qiye Wen 《Nano-Micro Letters》 SCIE EI CAS 2017年第3期52-59,共8页
VO_2 thin films were grown on silicon substrates using Al_2O_3 thin films as the buffer layers. Compared with direct deposition on silicon, VO_2 thin films deposited on Al_2O_3 buffer layers experience a significant i... VO_2 thin films were grown on silicon substrates using Al_2O_3 thin films as the buffer layers. Compared with direct deposition on silicon, VO_2 thin films deposited on Al_2O_3 buffer layers experience a significant improvement in their microstructures and physical properties. By optimizing the growth conditions, the resistance of VO_2 thin films can change by four orders of magnitude with a reduced thermal hysteresis of 4 °C at the phase transition temperature. The electrically driven phase transformation was measured in Pt/Si/Al_2O_3/VO_2/Au heterostructures. The introduction of a buffer layer reduces the leakage current and Joule heating during electrically driven phase transitions. The C–V measurement result indicates that the phase transformation of VO_2 thin films can be induced by an electrical field. 展开更多
关键词 AL2O3 buffer layers Atomic layer deposition VO2 thin films HETEROSTRUCTURE
下载PDF
Tetraalkyl-substituted zinc phthalocyanines used as anode buffer layers for organic light-emitting diodes 被引量:1
16
作者 陈潜 杨松鹤 +3 位作者 董磊 蔡思源 许家驹 许宗祥 《Chinese Physics B》 SCIE EI CAS CSCD 2020年第1期417-423,共7页
Two soluble tetraalkyl-substituted zinc phthalocyanines(ZnPcs)for use as anode buffer layer materials in tris(8-hydroxyquinoline)aluminum(Alq3)-based organic light-emitting diodes(OLEDs)are presented in this work.The ... Two soluble tetraalkyl-substituted zinc phthalocyanines(ZnPcs)for use as anode buffer layer materials in tris(8-hydroxyquinoline)aluminum(Alq3)-based organic light-emitting diodes(OLEDs)are presented in this work.The holeblocking properties of these Zn Pc layers slowed the hole injection process into the Alq3 emissive layer greatly and thus reduced the production of unstable cationic Alq3(Alq3^+)species.This led to the enhanced brightness and efficiency when compared with the corresponding properties of OLEDs based on the popular poly-(3,4-ethylenedioxythiophene):poly(styrene sulfonate)(PEDOT:PSS)buffer layer.Furthermore,because of the high thermal and chemical stabilities of these Zn Pcs,a nonaqueous film fabrication process was realized together with improved charge balance in the OLEDs and enhanced OLED lifetimes. 展开更多
关键词 organic light-emitting diode anode buffer layer metal phthalocyanine solution process
下载PDF
Enhanced charge carrier injection in heterojunction organic field-effect transistor by inserting an MoO_3 buffer layer 被引量:1
17
作者 于欣格 于军胜 +1 位作者 黄伟 曾红娟 《Chinese Physics B》 SCIE EI CAS CSCD 2012年第11期416-420,共5页
A top-contact organic field-effect transistor (OFET) is fabricated by adopting a pentacene/1,11-bis(di-4- tolylaminophenyl) cyclohexane (TAPC) heterojunction structure and inserting an MoO3 buffer layer between ... A top-contact organic field-effect transistor (OFET) is fabricated by adopting a pentacene/1,11-bis(di-4- tolylaminophenyl) cyclohexane (TAPC) heterojunction structure and inserting an MoO3 buffer layer between the TAPC organic semiconductor layer and the source/drain electrode. The performances of the heterojunction OFET, including output current, field-effect mobility, and threshed voltage~ are all significantly improved by introducing the MoO3 thin buffer layer. The performance improvement of the modified heterojunction OFET is attributed to a better contact formed at the Au/TAPC interface due to the MoO3 thin buffer layer, thereby leading to a remarkable reduction of the contact resistance at the metal/organic interface. 展开更多
关键词 organic field-effect transistor (OFET) MoOz buffer layer heterojunction structure con-tact resistance
下载PDF
First-principles study of the growth and diffusion of B and N atoms on the sapphire surface with h-BN as the buffer layer 被引量:1
18
作者 Jianyun Zhao Xu Li +2 位作者 Ting Liu Yong Lu Jicai Zhang 《Journal of Semiconductors》 EI CAS CSCD 2021年第8期66-72,共7页
Currently,the preparation of large-size and high-quality hexagonal boron nitride is still an urgent problem.In this study,we investigated the growth and diffusion of boron and nitrogen atoms on the sapphire/h-BN buffe... Currently,the preparation of large-size and high-quality hexagonal boron nitride is still an urgent problem.In this study,we investigated the growth and diffusion of boron and nitrogen atoms on the sapphire/h-BN buffer layer by first-principles calculations based on density functional theory.The surface of the single buffer layer provides several metastable adsorption sites for free B and N atoms due to exothermic reaction.The adsorption sites at the ideal growth point for B atoms have the lowest adsorption energy,but the N atoms are easily trapped by the N atoms on the surface to form N-N bonds.With the increasing buffer layers,the adsorption process of free atoms on the surface changes from exothermic to endothermic.The diffusion rate of B atoms is much higher than that of the N atoms thus the B atoms play a major role in the formation of B-N bonds.The introduction of buffer layers can effectively shield the negative effect of sapphire on the formation of B-N bonds.This makes the crystal growth on the buffer layer tends to two-dimensional growth,beneficial to the uniform distribution of B and N atoms.These findings provide an effective reference for the h-BN growth. 展开更多
关键词 hexagonal boron nitride buffer layer first-principles calculations molecular dynamics
下载PDF
Tin dioxide buffer layer-assisted efficiency and stability of wide-bandgap inverted perovskite solar cells 被引量:1
19
作者 Bingbing Chen Pengyang Wang +3 位作者 Ningyu Ren Renjie Li Ying Zhao Xiaodan Zhang 《Journal of Semiconductors》 EI CAS CSCD 2022年第5期89-103,共15页
Inverted perovskite solar cells(IPSCs) have attracted tremendous research interest in recent years due to their applications in perovskite/silicon tandem solar cells. However, further performance improvements and long... Inverted perovskite solar cells(IPSCs) have attracted tremendous research interest in recent years due to their applications in perovskite/silicon tandem solar cells. However, further performance improvements and long-term stability issues are the main obstacles that deeply hinder the development of devices. Herein, we demonstrate a facile atomic layer deposition(ALD) processed tin dioxide(SnO2) as an additional buffer layer for efficient and stable wide-bandgap IPSCs. The additional buffer layer increases the shunt resistance and reduces the reverse current saturation density, resulting in the enhancement of efficiency from 19.23% to 21.13%. The target device with a bandgap of 1.63 eV obtains open-circuit voltage of 1.19 V, short circuit current density of 21.86 mA/cm^(2), and fill factor of 81.07%. More importantly, the compact and stable SnO_(2) film invests the IPSCs with superhydrophobicity, thus significantly enhancing the moisture resistance. Eventually, the target device can maintain 90% of its initial efficiency after 600 h storage in ambient conditions with relative humidity of 20%–40% without encapsulation. The ALD-processed SnO_(2) provides a promising way to boost the efficiency and stability of IPSCs, and a great potential for perovskite-based tandem solar cells in the near future. 展开更多
关键词 atomic layer deposition tin dioxide additional buffer layer efficiency and stability inverted perovskite solar cells
下载PDF
High-power SiC MESFET using a dual p-buffer layer for an S-band power amplifier 被引量:1
20
作者 邓小川 孙鹤 +1 位作者 饶成元 张波 《Chinese Physics B》 SCIE EI CAS CSCD 2013年第1期491-494,共4页
A silicon carbide (SIC) based metal semiconductor field effect transistor (MESFET) is fabricated by using a standard SiC MESFET structure with the application of a dual p-buffer layer and a multi-recessed gate to ... A silicon carbide (SIC) based metal semiconductor field effect transistor (MESFET) is fabricated by using a standard SiC MESFET structure with the application of a dual p-buffer layer and a multi-recessed gate to the process for an S-band power amplifier. The lower doped upper-buffer layer serves to maintain the channel current, while the higher doped lowerbuffer layer is used to provide excellent electron confinement in the channel layer. A 20-mm gate periphery SiC MESFET biased at a drain voltage of 85 V demonstrates a pulsed wave saturated output power of 94 W, a linear gain of 11.7 dB, and a maximum power added efficiency of 24.3% at 3.4 GHz. These results are improved compared with those of the conventional single p-buffer MESFET fabricated in this work using the same process. A radio-frequency power output greater than 4.7 W/mm is achieved, showing the potential as a high-voltage operation device for high-power solid-state amplifier applications. 展开更多
关键词 dual p-buffer layer silicon carbide MESFETS electron confinement
下载PDF
上一页 1 2 57 下一页 到第
使用帮助 返回顶部