期刊文献+
共找到5,261篇文章
< 1 2 250 >
每页显示 20 50 100
CPLD通用板级测试验证系统设计与实现
1
作者 陆爱春 王炎鑫 +2 位作者 钱子健 梁蕾 杨成山 《中国科技期刊数据库 工业A》 2024年第3期38-44,共7页
本文从资源统筹、集约验证角度出发,根据CPLD的主流使用需求制定通用板级验证项目,提出一种通用板级测试验证系统的设计方法,从系统架构、硬件平台设计、软件平台设计等方面进行了研究,实现被测CPLD主要功能性能测试、测试结果自动判定... 本文从资源统筹、集约验证角度出发,根据CPLD的主流使用需求制定通用板级验证项目,提出一种通用板级测试验证系统的设计方法,从系统架构、硬件平台设计、软件平台设计等方面进行了研究,实现被测CPLD主要功能性能测试、测试结果自动判定、测试数据自动记录等功能,为国产CPLD的工程应用提供技术支持,也为其他类别国产元器件的板级测试验证工作提供设计参考。 展开更多
关键词 cpld 通用板级 验证系统
下载PDF
基于CPLD的交换机电源时序研究
2
作者 徐健 吴海青 +1 位作者 包佳立 谈广旭 《电子设计工程》 2024年第5期89-94,共6页
针对传统硬件延迟电路的设计复杂且容易受外界环境影响,难以满足高性能交换机中多模块多电源上电时序要求严格的问题,采用国产安路的可编程逻辑器件和Verilog语言的有限状态机设计对交换机中CPU、FPGA和交换芯片进行上电时序控制。利用M... 针对传统硬件延迟电路的设计复杂且容易受外界环境影响,难以满足高性能交换机中多模块多电源上电时序要求严格的问题,采用国产安路的可编程逻辑器件和Verilog语言的有限状态机设计对交换机中CPU、FPGA和交换芯片进行上电时序控制。利用Modelsim对延迟模块和上电时序进行仿真验证。结果表明,上电时序控制设计的延迟为毫秒级别,状态机12个状态实现快速跳转,满足上电时序要求,并可以修改代码调整上电时序,适用于服务器、交换机等网络设备。 展开更多
关键词 交换机 上电时序 可编程逻辑器件 状态机
下载PDF
基于CPLD/FPGA的可裁剪MCU设计与应用 被引量:1
3
作者 罗进川 周炳炎 陈光 《单片机与嵌入式系统应用》 2023年第3期68-70,共3页
采用Verilog语言开发了一款基于CPLD/FPGA平台的可裁剪MCU。该MCU包含一个核心模块和一些常用的接口模块,具有32位的程序/外设寻址空间。采用特殊的“或”总线结构,可以灵活增删指令及外设接口模块,以适应应用需要或节省资源。MCU采用HD... 采用Verilog语言开发了一款基于CPLD/FPGA平台的可裁剪MCU。该MCU包含一个核心模块和一些常用的接口模块,具有32位的程序/外设寻址空间。采用特殊的“或”总线结构,可以灵活增删指令及外设接口模块,以适应应用需要或节省资源。MCU采用HDL语言开发,可在任意平台CPLD/FPGA之间移植。该MCU已在实践中使用,具有一定的实用价值。 展开更多
关键词 cpld FPGA MCU 或总线 可裁剪 可移植
下载PDF
基于CPLD技术的某雷达发射机控制箱设计
4
作者 梅广辉 汪铭东 邓超 《舰船电子工程》 2023年第3期214-218,共5页
针对某型雷达发射机脱离终端显控台无法单独操控的问题,在CPLD技术的基础上实现了雷达发射机控制箱的设计。控制箱可产生发射机正常工作时所需的各种定时信号和控制信号,控制发射机的工作状态转换,起到了“终端显控台”的操作效果。控... 针对某型雷达发射机脱离终端显控台无法单独操控的问题,在CPLD技术的基础上实现了雷达发射机控制箱的设计。控制箱可产生发射机正常工作时所需的各种定时信号和控制信号,控制发射机的工作状态转换,起到了“终端显控台”的操作效果。控制箱在检测和老练发射机行波管的过程中,无需终端显控台的操作即可完成,具有较好的时效性和便捷性,可应用于日常发射机的检测和维修。 展开更多
关键词 cpld 雷达发射机 控制 电路设计
下载PDF
基于CPLD控制模块的智能机器人控制系统研究 被引量:4
5
作者 李贺 朱珍元 陈任 《计算机测量与控制》 2023年第2期147-153,共7页
为提高物流周转智能机器人的环境感知能力和避障能力,降低智能机器人运行中碰撞障碍物的概率,设计了一种基于CPLD控制模块的物流周转智能机器人控制系统;以CPLD控制器为核心,调整A/D模拟采集接口模块信号的连接形式,并设置与PWM寄存器... 为提高物流周转智能机器人的环境感知能力和避障能力,降低智能机器人运行中碰撞障碍物的概率,设计了一种基于CPLD控制模块的物流周转智能机器人控制系统;以CPLD控制器为核心,调整A/D模拟采集接口模块信号的连接形式,并设置与PWM寄存器相关的连接参数;给出了主机智能程序的决策流程,并适时调整PWM寄存器的整流参数,提升控制指令执行向量的匹配精度,以实现对智能机器人运动轨迹的精确控制;与传统机器人控制系统相比,基于CPLD控制模块的智能机器人能够更准确地感知外界环境的变化,精确规避障碍物。 展开更多
关键词 cpld控制模块 智能机器人 A/D模拟 PWM寄存器 避障敏感度
下载PDF
国产飞腾D2000的CPLD控制系统设计
6
作者 孟梓桐 韩跃平 唐道光 《单片机与嵌入式系统应用》 2023年第8期68-70,74,共4页
针对信息安全及主机国产化的需求,基于国产CPLD芯片设计了一种控制国产飞腾D2000处理器主板上下电时序及具有设备检测功能的电路系统。本系统选用安路公司CPLD产品作为控制芯片,CPLD接收CPU控制信号,控制系统电源状态转换,进而控制主板... 针对信息安全及主机国产化的需求,基于国产CPLD芯片设计了一种控制国产飞腾D2000处理器主板上下电时序及具有设备检测功能的电路系统。本系统选用安路公司CPLD产品作为控制芯片,CPLD接收CPU控制信号,控制系统电源状态转换,进而控制主板各部件的电源,系统还包括电源电路、时钟电路、设备检测电路和JTAG下载调试电路。本系统采用Verilog语言实现CPLD的控制功能,使用安路专用国产EDA工具进行后续的综合、烧写工作。实验结果表明,该系统能精确控制飞腾主板各部件的上下电时序,可以实现正常开关机及设备检测功能,具有一定的实用性和推广价值。 展开更多
关键词 cpld 飞腾D2000 上下电时序 设备检测
下载PDF
基于CPLD与SGPIO协议的服务器硬盘状态显示系统设计
7
作者 夏伟田 蔡昌新 《电脑与电信》 2023年第8期94-97,共4页
介绍了服务器CPU检测硬盘状态中BMC到硬盘这一通信过程,并通过CPLD芯片编程实现解析背板上Expander芯片发出的SGPIO信号,来控制对应的GPIO高低电平的输出,点亮不同的LED灯来显示硬盘状态;同时,展示了SGPIO协议在数据传输中速度快、稳定... 介绍了服务器CPU检测硬盘状态中BMC到硬盘这一通信过程,并通过CPLD芯片编程实现解析背板上Expander芯片发出的SGPIO信号,来控制对应的GPIO高低电平的输出,点亮不同的LED灯来显示硬盘状态;同时,展示了SGPIO协议在数据传输中速度快、稳定性高的特点。 展开更多
关键词 服务器 cpld SGPIO BMC
下载PDF
可编程逻辑器件CPLD的高温动态老炼试验设计 被引量:1
8
作者 吴宏丽 《山西电子技术》 2023年第2期55-59,共5页
复杂可编程逻辑器件(Complex Programmable Logic Device, CPLD)被广泛应用在通讯、电子、航空航天等领域的电路设计。在电路设计中,CPLD器件的可靠性将直接影响整个电路工作的稳定性。为了提高CPLD器件的可靠性,需要进行筛选试验,而老... 复杂可编程逻辑器件(Complex Programmable Logic Device, CPLD)被广泛应用在通讯、电子、航空航天等领域的电路设计。在电路设计中,CPLD器件的可靠性将直接影响整个电路工作的稳定性。为了提高CPLD器件的可靠性,需要进行筛选试验,而老炼试验是有效的可靠性筛选试验手段。基于此,对CPLD高温动态老炼试验技术进行了研究,并以EPM7032AETC44-10N器件为例,提出了高温动态老炼试验方案设计并验证,达到了预期的设计目的。 展开更多
关键词 高温动态老炼试验 cpld 可靠性
下载PDF
基于DSP与CPLD的自动查线测试系统设计
9
作者 高立夫 袁保伦 郭海龙 《电子技术与软件工程》 2023年第3期122-125,共4页
本文对军用电子设备综合查线技术进行了研究,并基于数字信号处理(DSP)和复杂可编程逻辑器件(CPLD)的技术设计了一种针对惯性导航装置的自动查线系统。军用电子设备研制与生产有着严格的标准,且军用电子设备内部结构复杂,对其稳定性与可... 本文对军用电子设备综合查线技术进行了研究,并基于数字信号处理(DSP)和复杂可编程逻辑器件(CPLD)的技术设计了一种针对惯性导航装置的自动查线系统。军用电子设备研制与生产有着严格的标准,且军用电子设备内部结构复杂,对其稳定性与可靠性都有极高的要求,设备出厂前需要进行多轮的质量检查。传统模式下,查线检测耗费大量时间且效率低下,并且存在人为漏查、错查等情况。为保证军用设备的质量和生产效率,设计了一种自动查线测试系统,应用在某军用导航设备的研制生产中,大大提升了测试效率,降低了生产成本,取得了良好的效果。 展开更多
关键词 电子系统 自动查线 cpld DSP 测试系统
下载PDF
基于国产CPLD的仲裁和事件记录卡设计
10
作者 李森 袁强 +2 位作者 唐建 秦友伦 王浩宇 《兵工自动化》 2023年第3期48-52,60,共6页
针对某型号监控计算机对双机仲裁与事件记录的需求,利用国产化CPLDSM2210与国产Flash存储器SM25QH128设计一种具有仲裁和事件记录功能的控制卡。通过检测监控计算机CPU的心跳信号、主备机切换申请信号、电源控制信号等实现双机的相互仲... 针对某型号监控计算机对双机仲裁与事件记录的需求,利用国产化CPLDSM2210与国产Flash存储器SM25QH128设计一种具有仲裁和事件记录功能的控制卡。通过检测监控计算机CPU的心跳信号、主备机切换申请信号、电源控制信号等实现双机的相互仲裁控制;利用存储数据特征设计一种Flash智能续写算法,实现Flash自动续写功能;CPU通过IIC接口实现对事件的查询。结果表明:该仲裁、事件记录卡能实现仲裁与事件记录功能,且性能稳定。 展开更多
关键词 事件记录 国产cpld 国产Flash Flash智能续写
下载PDF
CPLD技术在喷气织机控制系统中的应用
11
作者 郭张军 奚永新 +1 位作者 石佳洋 杨辉 《自动化应用》 2023年第20期81-83,共3页
为完成对喷气织机控制系统中电磁阀、电机、刹车等设备的控制,本文在微处理器STM32F302数据/地址总线的基础上,研究并设计了基于CPLD的输出口线扩展系统;重点介绍了扩展系统中单片机、CPLD、控制设备之间的硬件连接方式,以及CPLD和单片... 为完成对喷气织机控制系统中电磁阀、电机、刹车等设备的控制,本文在微处理器STM32F302数据/地址总线的基础上,研究并设计了基于CPLD的输出口线扩展系统;重点介绍了扩展系统中单片机、CPLD、控制设备之间的硬件连接方式,以及CPLD和单片机的软件设计方法。实验结果表明,该控制系统响应速度快,运行稳定可靠,符合喷气织机系统的控制要求。 展开更多
关键词 喷气织机 微处理器 cpld 口线扩展
下载PDF
基于CPLD/FPGA的出租车计费器 被引量:3
12
作者 吴冬梅 吴延海 邓玉玖 《电子技术应用》 北大核心 2004年第11期71-73,共3页
介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用单片CPLD器件构成该数字系统的设计思想和实现过程。论述了车型调整模块、计程模块、计费模块、译码动态扫描模块等的设计方法与技巧。
关键词 计费 cpld/FPGA 译码 数字系统 模块 EDA平台 cpld器件 动态扫描 单片 设计方法
下载PDF
基于CPLD的复杂脉冲产生器设计方法 被引量:2
13
作者 王小哲 程俊斌 潘雨 《现代电子技术》 2005年第9期93-95,共3页
复杂可编程逻辑器件(CPL D)的出现使一些复杂、繁琐的数字逻辑电路更加容易实现,并且随着CPL D集成度的提高,广大EDA设计师们越来越多地使用他去设计数字电路。通过利用复杂可编程逻辑器件CPL D和其软件开发平台Max+Plus 实现一变周期... 复杂可编程逻辑器件(CPL D)的出现使一些复杂、繁琐的数字逻辑电路更加容易实现,并且随着CPL D集成度的提高,广大EDA设计师们越来越多地使用他去设计数字电路。通过利用复杂可编程逻辑器件CPL D和其软件开发平台Max+Plus 实现一变周期、变占空比的复杂脉冲产生器为例,证明了用CPL D设计复杂数字逻辑电路的便捷性。 展开更多
关键词 产生器 复杂可编程逻辑器件(cpld) 设计方法 脉冲 Max+PlusⅡ 数字逻辑电路 软件开发平台 cpld设计 数字电路 集成度 设计师 EDA 变周期 占空比 便捷性
下载PDF
复杂可编程逻辑器CPLD在发射机中的应用
14
作者 马杰 闫伟 《数字传媒研究》 2023年第2期42-45,共4页
CPLD(Complex Programmable Logic Device)是Complex PLD的简称,一种较为复杂的PLD逻辑元件,是可以使用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相... CPLD(Complex Programmable Logic Device)是Complex PLD的简称,一种较为复杂的PLD逻辑元件,是可以使用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统,目前应用已深入网络、仪器仪表、汽车电子、数控机床等方面。839台最新使用的50kW短波发射机其核心控制单元就是采用CPLD对各继电器输出指令,完成对设备的各种操作。本文对CPLD的具体逻辑生成、控制原理等做详细说明。 展开更多
关键词 cpld 数字系统 继电器控制 指令逻辑
下载PDF
提高CPLD芯片资源利用率措施 被引量:2
15
作者 周百新 王思聪 《农机化研究》 北大核心 2002年第3期174-175,共2页
论述了如何利用电路设计技巧,有效提高可编程器件CPLD资源利用率的方法。研究时采用了精确小数分频器,用两个整数分频器K和m代替一个小数分频器N,使电路中使用的触发器个数大为减少。同时,给出了具体的应用例子。
关键词 cpld芯片 资源利用率 可编程器件cpld 小数分频器 频率
下载PDF
基于CPLD/FPGA技术的数字系统设计 被引量:9
16
作者 吴超英 《安徽工业大学学报(自然科学版)》 CAS 2003年第1期69-73,共5页
概要介绍在ALTERE公司研制的MAX+PLUSⅡCPLD软件开发系统上,进行数字系统设计的特点、方法。并列举了应用在系统编程的用户片,实现十字路口交通灯控制系统设计的过程。
关键词 系统设计 cpld/FPGA技术 MAX+PLUSⅡcpld 数字系统 在系统编程 数字集成电路
下载PDF
基于CPLD控制的实用数据采集系统 被引量:5
17
作者 孔德明 《电子产品世界》 2005年第10A期101-103,共3页
本文用CPLD设计了A/D转换和数据存储的控制功能。
关键词 A/D转换 数据采集 存储器 cpld cpld设计 控制功能 数据采集系统 数据存储
下载PDF
MPEG—2测试码流速率CPLD设置
18
作者 王军 唐强 李桂苓 《电子测量技术》 2004年第1期39-39,41,共2页
文中阐述MPEG-2码流发送系统程序分频器的设计和实现。系统采用CD74HC4046A和CPLD7000S系列芯片,使用VHDL硬件描述语言编程实现,并已用于PCI总线MPEG—2码流发送卡。
关键词 MPEG-2 码流速率 cpld TS流 码流发送系统 CD74HC4046A cpld7000S VHDL 硬件描述语言
下载PDF
基于CPLD的单片机扩展数据存储器的改进
19
作者 陈卫兵 李世刚 《声学与电子工程》 2005年第3期50-51,共2页
在MCS96系列单片机的应用开发中,经常会遇到需要大容量的数据存储器的情况。一种段式管理的大容量数据存贮器扩展技术给出了解决办法,但是该技术的核心部分是采用子程序来实现,因而在应用于实时系统时受到了限制。本文针对这种段式管理... 在MCS96系列单片机的应用开发中,经常会遇到需要大容量的数据存储器的情况。一种段式管理的大容量数据存贮器扩展技术给出了解决办法,但是该技术的核心部分是采用子程序来实现,因而在应用于实时系统时受到了限制。本文针对这种段式管理的大容量数据存贮器扩展技术存在的缺点,提出了利用CPLD芯片与单片机综合的应用模式来实现原有扩展方法的改进,由于采用CPLD的硬件实现大大减少了数据存贮器寻址时间,从而使得段式管理的扩展技术能够应用于实时系统。 展开更多
关键词 单片机 逻辑地址 物理地址 cpld cpld芯片 扩展技术 数据存储器 数据存贮器 实时系统 大容量
下载PDF
基于CPLD的线阵CCD光积分时间的自适应调节 被引量:38
20
作者 谷林 胡晓东 +1 位作者 罗长洲 徐洲 《光子学报》 EI CAS CSCD 北大核心 2002年第12期1533-1537,共5页
在分析SONY公司的ILX5 33K型CCD驱动时序的基础上 ,设计了单片机控制下的光积分时间自适应调节系统 选用CPLD器件作为硬件设计载体 ,使用VHDL语言对驱动时序发生器进行了硬件描述 采用MAX +PLUSⅡ软件对所做的设计进行了功能仿真 ,并... 在分析SONY公司的ILX5 33K型CCD驱动时序的基础上 ,设计了单片机控制下的光积分时间自适应调节系统 选用CPLD器件作为硬件设计载体 ,使用VHDL语言对驱动时序发生器进行了硬件描述 采用MAX +PLUSⅡ软件对所做的设计进行了功能仿真 ,并针对ALTERA公司的CPLD器件EP1K5 0进行了RTL级仿真及配置 系统测试表明 。 展开更多
关键词 电荷耦合器件 CCD 复杂可编程逻辑器件 cpld 驱动时序发生器 光积分时间 自适应调节 单片机
下载PDF
上一页 1 2 250 下一页 到第
使用帮助 返回顶部