期刊文献+
共找到8篇文章
< 1 >
每页显示 20 50 100
基于FPGA的单光子时间数字转换器设计
1
作者 何继爱 辛家乐 石麟泰 《电子测量技术》 北大核心 2024年第5期16-21,共6页
针对单光子计数器对高速飞行光子时间测量的高分辨率要求,传统的TDC在时间测量上存在误差较大的不足。本文设计了一种利用FPGA内部逻辑延迟单元Carry4级联构建延迟链的TDC。该方法首先使用子链平均的方式进行数据采样,避免数据“气泡”... 针对单光子计数器对高速飞行光子时间测量的高分辨率要求,传统的TDC在时间测量上存在误差较大的不足。本文设计了一种利用FPGA内部逻辑延迟单元Carry4级联构建延迟链的TDC。该方法首先使用子链平均的方式进行数据采样,避免数据“气泡”。其次,结合码密度测试和bin-by-bin校准将各级延迟单元宽度校准至接近均匀宽度,提高系统的测量精度。最后,通过Vivado软件仿真并烧录至ZYNQ7000进行板级测试,实验结果表明,该TDC能够在3 ns的动态时间范围内实现时间分辨率10.91 ps,差分非线性(DNL)范围为[-0.75,1.01]LSB,积分非线性(INL)范围为[-1.74,2.19]LSB。 展开更多
关键词 FPGA 时间数字转换 carry4 码密度测试 差分非线性 积分非线性
下载PDF
一种基于FPGA进位链的时间数字转换器 被引量:8
2
作者 王巍 周浩 +4 位作者 熊拼搏 李双巧 杨皓 杨正琳 袁军 《微电子学》 CAS CSCD 北大核心 2016年第6期777-780,787,共5页
提出了一种基于Xilinx Virtex-5FPGA的时间数字转换器。利用Virtex-5中专用进位链CARRY4构造的延迟链,对时钟周期进行内插以得到更高精度的测量。此外,运用布局布线约束来减少延迟链的不一致性,降低了微分非线性(DNL)以及积分非线性(... 提出了一种基于Xilinx Virtex-5FPGA的时间数字转换器。利用Virtex-5中专用进位链CARRY4构造的延迟链,对时钟周期进行内插以得到更高精度的测量。此外,运用布局布线约束来减少延迟链的不一致性,降低了微分非线性(DNL)以及积分非线性(INL)。仿真结果表明,最低有效位(LSB)为52.22ps,精度(RMS)约为25ps,INL为0~0.9LSB,DNL为-0.03~0.1LSB。 展开更多
关键词 时间数字转换器 进位链 carry4 布局布线 可编程逻辑器件
下载PDF
非线性优化的时间数字转换器设计
3
作者 肖远 梁华国 +3 位作者 汪玉传 鲁迎春 易茂祥 姚亮 《微电子学》 CAS 北大核心 2023年第5期772-778,共7页
在由FPGA超前进位单元级联构成的抽头延时链中,非线性通常较差,是TDC测量系统需要解决的重要问题之一。为了解决该问题,文章在已有的抽头采样序列(“SCSC”)基础上,提出了“混合”抽头采样序列的方法,显著改善了延时单元的非均匀性。所... 在由FPGA超前进位单元级联构成的抽头延时链中,非线性通常较差,是TDC测量系统需要解决的重要问题之一。为了解决该问题,文章在已有的抽头采样序列(“SCSC”)基础上,提出了“混合”抽头采样序列的方法,显著改善了延时单元的非均匀性。所搭建的TDC包含了抽头延时链、采样逻辑电路、编码逻辑电路、码密度校准等模块,并在Xilinx Kintex-7系列芯片上进行验证。测试结果表明,提出的方法相较于“SCSC”序列下的微分非线性降低了32.0%,积分非线性降低了22.8%。通过进一步校准,所实现的TDC分辨率(LSB)为13.51 ps,测量精度为19.17 ps,微分非线性为[-0.45,0.96]LSB,积分非线性在[-3.27,1.33]LSB之间。 展开更多
关键词 时间数字转换器 超前进位链 码密度校准
下载PDF
FPGA进位链64通道时间数字转换器设计 被引量:2
4
作者 马毅超 李煜 +2 位作者 李贞杰 李秋菊 蒋俊国 《核电子学与探测技术》 CAS 北大核心 2020年第6期916-921,共6页
使用Xilink XC7K325TFBG676设计一种用于高能辐射光源(HEPS)APD探测器的64通道TDC。采用“粗计数”+“细测量”相结合的方法;通过Carry4构造64条抽头延时链,完成64通道的时间内插;采用两级触发器锁存结构降低亚稳态发生的概率;千兆以太... 使用Xilink XC7K325TFBG676设计一种用于高能辐射光源(HEPS)APD探测器的64通道TDC。采用“粗计数”+“细测量”相结合的方法;通过Carry4构造64条抽头延时链,完成64通道的时间内插;采用两级触发器锁存结构降低亚稳态发生的概率;千兆以太网进行数据传输。实验结果表明:64通道TDC的最小时间分辨为49 ps,死时间为8 ns,时间测量精度为46.77 ps,微分非线性在[-0.1,0.12]lsb之间,积分非线性在[-0.1,0.31]lsb之间。 展开更多
关键词 同步辐射时间分辨 探测器 64通道 carry4 TDC FPGA
下载PDF
基于FPGA的高精度多通道时间数字转换器设计 被引量:7
5
作者 王巍 董永孟 +6 位作者 李捷 熊拼搏 周浩 杨正琳 王冠宇 袁军 周玉涛 《微电子学》 CAS CSCD 北大核心 2015年第6期698-701,705,共5页
采用Xilinx Virtex-5FPGA芯片,实现了一种高精度、多通道时间数字转换器的设计。每个通道配有一条抽头延迟线,每条延迟线由64个快速超前进位链(CARRY4)组成。布线后,延迟线成链状结构紧密排列,有效消除了布线路径带来的误差,降低了积分... 采用Xilinx Virtex-5FPGA芯片,实现了一种高精度、多通道时间数字转换器的设计。每个通道配有一条抽头延迟线,每条延迟线由64个快速超前进位链(CARRY4)组成。布线后,延迟线成链状结构紧密排列,有效消除了布线路径带来的误差,降低了积分非线性和微分非线性误差。仿真结果表明,设计的时间数字转换器的最低有效位约为26.35ps,有效精度约为14ps,INL小于4.3LSB,DNL在-0.8LSB^2.4LSB范围内。 展开更多
关键词 FPGA 时间数字转换器 抽头延迟线 快速超前进位链
下载PDF
基于码密度的高精度时间数字转换器设计 被引量:4
6
作者 张青松 徐光辉 李娜 《通信技术》 2019年第4期1015-1019,共5页
采用基于码密度的技术实现了一种基于FPGA xilinx7系列芯片的高精度时间数字转换器(TDC)系统,包括精密时间测量模块、数据校准系统、粗测量模块以及逻辑控制模块。设计该系统的关键部分在于底层硬件(FPGA)资源中存在的大量延时单元,并... 采用基于码密度的技术实现了一种基于FPGA xilinx7系列芯片的高精度时间数字转换器(TDC)系统,包括精密时间测量模块、数据校准系统、粗测量模块以及逻辑控制模块。设计该系统的关键部分在于底层硬件(FPGA)资源中存在的大量延时单元,并且该系统要求延时单元有一定的稳定性。利用FPGA芯片底层自带的CARRY4模块,构造了由64个快速进位链(CARRY4)组成的延迟链系统。此外,利用码密度的优点,解决特殊进位链延时单元带来的非线性问题。该方法可以有效地消除由于布局布线所带来的实际误差。通过实验表明,利用该方法可以准确地反映延迟单元在实际中运用中的时间分布,降低积分非线性和微分非线性。 展开更多
关键词 FPGA TDC carry4 延迟线
下载PDF
谐振高压传感器信号的高精度测量
7
作者 曹鑫 周严 《计量与测试技术》 2022年第3期38-42,共5页
差分谐振式高电压传感器的测量精度与后续频率测量电路的性能密切相关,而传统的谐振式传感器频率测量电路普遍存在精度和分辨率不高、响应速度慢以及携带不便等问题,针对这些问题,设计了一种基于现场可编程门阵列(FPGA)的高精度测频电... 差分谐振式高电压传感器的测量精度与后续频率测量电路的性能密切相关,而传统的谐振式传感器频率测量电路普遍存在精度和分辨率不高、响应速度慢以及携带不便等问题,针对这些问题,设计了一种基于现场可编程门阵列(FPGA)的高精度测频电路。该测频电路主体基于脉冲计数原理,同时利用XilinxFPGA内的CARRY4延时单元构造TDC(时间数字转换器)电路测量闸门边界与基准时钟边沿之间的微小时间间隔,克服了传统频率计中脉冲计数多记、漏记一个脉冲的问题,大大提高了频率测量的分辨率和精度。此外,为保证TDC电路工作稳定可靠,专门设计了校准电路对TDC延时链中的每个CARRY4单元定时进行在线校准。经实验测试表明,该测频方案技术指标足以满足谐振传感器的使用需求,具有较好的应用前景。 展开更多
关键词 谐振式传感器 carry4 TDC FPGA 校准电路
下载PDF
基于FPGA的8通道高精度TDC技术 被引量:7
8
作者 张孟翟 王华闯 《激光与光电子学进展》 CSCD 北大核心 2020年第13期152-157,共6页
高精度脉冲式激光测距的精度与时间数字转换器(TDC)的精度密切相关,基于现场可编程门阵列(FPGA)的多通道TDC可有效降低系统的复杂度、提高测量效率。利用Xilinx Kintex-7系列内的CARRY4模块构造延迟链作为细计数,用25位200 M的系统时钟... 高精度脉冲式激光测距的精度与时间数字转换器(TDC)的精度密切相关,基于现场可编程门阵列(FPGA)的多通道TDC可有效降低系统的复杂度、提高测量效率。利用Xilinx Kintex-7系列内的CARRY4模块构造延迟链作为细计数,用25位200 M的系统时钟进行粗计数,采用粗细结合的方式,在FPGA芯片内设计并验证了8通道高精度TDC。针对延迟单元的超前进位特性及其受温度电压影响的非线性时延,利用码密度测试法和在线校准法进行校准。实验结果表明,设计的8通道TDC分辨率小于35 ps,精度为36.8 ps,误差峰峰值为157.2 ps,量程为167.77 ms。 展开更多
关键词 时间数字转换器 carry4 现场可编程门阵列(FPGA) 延迟链 码密度测试法
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部