期刊文献+
共找到34篇文章
< 1 2 >
每页显示 20 50 100
用LabVIEW FPGA模块实现不同时钟域的数据连续传输 被引量:17
1
作者 崔佩佩 何强 +1 位作者 韩壮志 尚朝轩 《现代电子技术》 2011年第17期149-152,共4页
为了解决基于LabVIEW FPGA模块的DMA FIFO深度设定不当带来的数据不连续问题,结合LabVIEW FPGA的编程特点和DMA FIFO的工作原理,提出了一种设定FIFO深度的方法。对FIFO不同深度的实验表明,采用该方法设定的FIFO深度能够比较好地满足系... 为了解决基于LabVIEW FPGA模块的DMA FIFO深度设定不当带来的数据不连续问题,结合LabVIEW FPGA的编程特点和DMA FIFO的工作原理,提出了一种设定FIFO深度的方法。对FIFO不同深度的实验表明,采用该方法设定的FIFO深度能够比较好地满足系统对数据连续传输的要求。研究结果对深入展开研究和工程设计具有一定的指导意义。 展开更多
关键词 LABVIEW fpga模块 fifo 数据连续传输 时钟域
下载PDF
异步FIFO的设计与验证 被引量:12
2
作者 彭莉 秦建业 付宇卓 《计算机工程与应用》 CSCD 北大核心 2005年第3期98-101,共4页
多时钟域设计的一个难题是如何避免亚稳态的产生。异步FIFO是一种不同时钟域之间传递数据的常用方法。避免亚稳态问题及空满控制信号的产生是异步FIFO设计的两个难题。传统的异步FIFO设计采用同步读写地址后比较产生空满标志的方法,面... 多时钟域设计的一个难题是如何避免亚稳态的产生。异步FIFO是一种不同时钟域之间传递数据的常用方法。避免亚稳态问题及空满控制信号的产生是异步FIFO设计的两个难题。传统的异步FIFO设计采用同步读写地址后比较产生空满标志的方法,面积大、工作频率低。针对这些问题,文章提出了一种新的异步FIFO设计方案,它改进格雷编码电路,提高异步FIFO的工作频率,用先比较读写地址产生空满标志,再同步到相应时钟域的方法避免使用大量的同步寄存器,减小面积空间。EDA综合及FPGA验证的结果均表明,改进后异步FIFO的性能有了显著提高。 展开更多
关键词 多时钟域 亚稳态 异步fifo 格雷码 空满信号
下载PDF
异步FIFO的模型检验方法 被引量:1
3
作者 罗莉 欧国东 +2 位作者 刘彬 徐炜遐 窦强 《计算机科学》 CSCD 北大核心 2012年第3期268-270,共3页
跨时钟域(Clock Domain Crossing,CDC)设计和验证是SOC系统芯片设计的关键问题。讨论了异步FIFO的模型检验方法,利用模型检验工具SMV,建立了异步FIFO的有限状态机模型,使用时序逻辑LTL对该模型和属性进行了描述和验证。实验结果达到要求... 跨时钟域(Clock Domain Crossing,CDC)设计和验证是SOC系统芯片设计的关键问题。讨论了异步FIFO的模型检验方法,利用模型检验工具SMV,建立了异步FIFO的有限状态机模型,使用时序逻辑LTL对该模型和属性进行了描述和验证。实验结果达到要求,同时表明该方法是行之有效的。与传统的模拟和仿真等验证方法相比较,模型检验具有能够自动进行、验证速度快、不用书写测试激励等优点。 展开更多
关键词 CDC(clock domain crossing) 异步fifo LTL 符号模型检验 SMV
下载PDF
FPGA设计中的亚稳态问题及其预防方法研究 被引量:10
4
作者 杨岩岩 司倩然 +1 位作者 马贤颖 杨少敏 《飞行器测控学报》 CSCD 2014年第3期208-213,共6页
由于在复杂FPGA(Field Programmable Gate Array,现场可编程门阵列)设计中存在跨时钟域,通常会产生亚稳态现象。为有效地预防和解决该问题,分析FPGA设计中亚稳态的产生机理及其对数字信号处理系统的影响。根据不同的信号同步类型,针对... 由于在复杂FPGA(Field Programmable Gate Array,现场可编程门阵列)设计中存在跨时钟域,通常会产生亚稳态现象。为有效地预防和解决该问题,分析FPGA设计中亚稳态的产生机理及其对数字信号处理系统的影响。根据不同的信号同步类型,针对单比特电平信号、脉冲信号和边沿信号,分别给出基于触发器级联的跨时钟域信号同步方法;针对并行信号,提出基于异步FIFO(First In First Out,先进先出队列)和握手协议的跨时钟域同步方法;并通过仿真手段分析信号同步方法的有效性及其适用范围。结果表明:这些方法能够正确有效地完成跨时钟域信号同步,预防可能出现的亚稳态问题,从而提高复杂FPGA设计的可靠性和稳定性。 展开更多
关键词 跨时钟域 亚稳态 现场可编程门阵列(fpga) 同步器 异步电路
下载PDF
ASIC中的异步FIFO的实现 被引量:7
5
作者 梁晓莹 岳洪伟 《微计算机信息》 北大核心 2007年第01Z期246-248,共3页
绝大部分ASIC设计工程师在实际工作中都会遇到多时钟域设计的问题,多时钟域设计的一个难题是如何避免亚稳态的产生。异步FIFO是一种不同时钟域之间传递数据的常用方法。避免亚稳态问题及空满控制信号的产生是异步FIFO设计的两个关键。... 绝大部分ASIC设计工程师在实际工作中都会遇到多时钟域设计的问题,多时钟域设计的一个难题是如何避免亚稳态的产生。异步FIFO是一种不同时钟域之间传递数据的常用方法。避免亚稳态问题及空满控制信号的产生是异步FIFO设计的两个关键。本文针对异步时序产生的问题提出了一种新的异步FIFO设计方案。用这样一个异步FIFO模块实现FPGA内部不同时钟系统之间的数据接口,它们之间不需要互相握手,只需跟接口FIFO模块进行交互就可以了,使设计变得非常简单和容易。此异步FIFO基于Altera公司的Cyclone系列实现的,采用VHDL语言设计,通过对设计进行简单的修改,即可用于各种不同的系统的设计,经过充分测试和优化,该异步FIFO运行稳定,占用FPGA内部资源也非常少。 展开更多
关键词 多时钟域 亚稳态 异步fifo VHDL语言
下载PDF
基于FPGA的圆光栅编码器数据采集系统设计 被引量:6
6
作者 王博 郭阳宽 +1 位作者 周维虎 董登峰 《微型机与应用》 2012年第23期28-31,共4页
介绍了一种基于FPGA的圆光栅编码器数据采集系统的设计方法。通过分析圆光栅的实际工作情况,将系统分为三大模块,详细阐述了滤波模块实现消除高频信号干扰,计数模块实现四倍频、辨向与脉冲计数,以及数据通信模块实现跨时钟域数据传输功... 介绍了一种基于FPGA的圆光栅编码器数据采集系统的设计方法。通过分析圆光栅的实际工作情况,将系统分为三大模块,详细阐述了滤波模块实现消除高频信号干扰,计数模块实现四倍频、辨向与脉冲计数,以及数据通信模块实现跨时钟域数据传输功能的具体方法。最后通过Modelsim仿真验证了系统设计的可行性与可靠性。本系统具有高集成、可扩展、便于移植的特点,可广泛应用于相关领域。 展开更多
关键词 现场可编程门阵列 圆光栅 数字滤波 脉冲计数 跨时钟域
下载PDF
多时钟域数据传递的FPGA实现 被引量:6
7
作者 鲁玲 《现代电子技术》 2007年第21期130-132,共3页
分析了多时钟域数据传递设计中亚稳态的产生以及对整个电路性能和功能的影响,以一款异步并行通信接口芯片的设计为例,详细描述了采用同步器、FIFO实现8位并行数据到16位并行数据的两时钟域异步转换的过程。电路在XilinxISE6.0环境下用Mo... 分析了多时钟域数据传递设计中亚稳态的产生以及对整个电路性能和功能的影响,以一款异步并行通信接口芯片的设计为例,详细描述了采用同步器、FIFO实现8位并行数据到16位并行数据的两时钟域异步转换的过程。电路在XilinxISE6.0环境下用Modelsim5.7进行了逻辑仿真,结果表明系统稳定可靠。 展开更多
关键词 多时钟域 亚稳态 fpga 异步信号 fifo
下载PDF
基于DSP+FPGA的嵌入式图像处理系统设计 被引量:9
8
作者 李佩斌 黄莹 赵誉婷 《现代电子技术》 2014年第20期95-98,共4页
为满足数据量大、算法复杂度高的应用需求,使用高性能DSP完成复杂图像算法处理,FPGA作为协处理器,完成图像采集、存储和显示等功能,构建了一种高性能的嵌入式图像处理系统。DSP和FPGA通过EMIF接口实现了高速无缝互联。采用三重缓冲读写... 为满足数据量大、算法复杂度高的应用需求,使用高性能DSP完成复杂图像算法处理,FPGA作为协处理器,完成图像采集、存储和显示等功能,构建了一种高性能的嵌入式图像处理系统。DSP和FPGA通过EMIF接口实现了高速无缝互联。采用三重缓冲读写机制解决了采集和显示的异步时钟域问题及算法处理时间不确定的问题。介绍了基于BIOS和NDK开发的C6455软件流程,展示了该系统图像处理算法运行周期的统计结果。该系统运行稳定可靠,具有较高的实用价值。 展开更多
关键词 嵌入式图像处理系统 三重缓冲 异步时钟域
下载PDF
一种多输入情况下FPGA跨时钟域的解决方法 被引量:5
9
作者 王娜 孙钰林 +1 位作者 袁素春 郑晶晶 《空间电子技术》 2014年第4期74-76,共3页
采用异步FIFO是解决多比特数据跨时钟域传递的一种有效方法。在异步FFIO的基础之上提出一种通过扩展FIFO位宽,实现伴随门控信息与数据同时转换的新方法。与传统方法相比较,新方法具有更好的同步性。
关键词 跨时钟域 fpga 异步fifo
下载PDF
FPGA设计中跨时钟域信号同步方法 被引量:12
10
作者 邹晨 《航空计算技术》 2014年第4期131-134,共4页
随着FPGA系统设计的复杂化,系统内部的各个功能模块往往需要工作在不同频率的异步时钟域中,因此系统内核心功能模块与外设的通信设计无法避免地会涉及到跨时钟域的数据与信号的传递问题。尽管跨时钟域的同步问题并不属于FPGA系统设计领... 随着FPGA系统设计的复杂化,系统内部的各个功能模块往往需要工作在不同频率的异步时钟域中,因此系统内核心功能模块与外设的通信设计无法避免地会涉及到跨时钟域的数据与信号的传递问题。尽管跨时钟域的同步问题并不属于FPGA系统设计领域的新问题,但是随着多时钟域系统的常见化和复杂化,使得跨时钟域同步这一要求具备了新的重要意义。在对跨时钟域设计中容易出现的亚稳态现象及其造成的影响进行简要概述与分析的基础上,为了减小亚稳态发生的概率和降低系统对亚稳态错误的敏感程度,提出了四种跨时钟域同步的解决方案,较为详细地阐述了设计方案,对设计进行了评估与分析,并给出了优化设计。 展开更多
关键词 fpga 跨时钟域 同步 亚稳态
下载PDF
基于FPGA的“龙鳞”通信模块跨时钟域验证实践 被引量:1
11
作者 肖安洪 曾辉 +4 位作者 秦友用 靳津 周俊燚 郭文 陈俊杰 《上海交通大学学报》 EI CAS CSCD 北大核心 2019年第S01期84-87,103,共5页
由于现场可编程逻辑门阵列(FPGA)功能实现的多元化,往往会出现不同时钟域的信号.不同时钟域的信号进行交互,若不进行同步处理,经常会产生数据丢失、时序错误等问题,所以跨时钟域检查对FPGA功能实现特别重要.本文主要阐述了在开展"... 由于现场可编程逻辑门阵列(FPGA)功能实现的多元化,往往会出现不同时钟域的信号.不同时钟域的信号进行交互,若不进行同步处理,经常会产生数据丢失、时序错误等问题,所以跨时钟域检查对FPGA功能实现特别重要.本文主要阐述了在开展"龙鳞"平台通信模块FPGA软件验证与确认工作中跨时钟域检查的测试流程和方法,对跨时钟异常进行分类,分析通信模块FPGA软件的跨时钟异常并提供解决方案,为FPGA测试工程师提供一种测试思路. 展开更多
关键词 现场可编程逻辑门阵列 龙鳞 通信模块 跨时钟域 验证
下载PDF
基于FPGA的硬盘数据恢复仪的实现 被引量:1
12
作者 陈康 何明华 《贵州大学学报(自然科学版)》 2010年第2期96-100,共5页
本文针对硬盘发生故障导致数据丢失的情况,采用FPGA设计了硬盘数据恢复仪,它能够根据用户输入的文件名自动查找到该文件,并且通过异步FIFO和DMA传输到备份硬盘上,实现故障硬盘的数据快速恢复。
关键词 硬盘 fpga VHDL 异步fifo 跨时钟域
下载PDF
FPGA跨时钟域信号同步设计方法研究 被引量:16
13
作者 宋文强 胡毅 《单片机与嵌入式系统应用》 2018年第9期24-27,81,共5页
随着FPGA功能越来越复杂,其内部设计经常包含多个异步时钟,将FPGA分割为多个时钟域,不同时钟域之间进行的数据和信号通信引入了跨时钟域问题。本文主要阐述了跨时钟域设计常见问题、跨时钟域信号同步方案,在此基础上从验证工程师角度出... 随着FPGA功能越来越复杂,其内部设计经常包含多个异步时钟,将FPGA分割为多个时钟域,不同时钟域之间进行的数据和信号通信引入了跨时钟域问题。本文主要阐述了跨时钟域设计常见问题、跨时钟域信号同步方案,在此基础上从验证工程师角度出发,介绍了如何进行跨时钟域验证的方法和步骤,为更好地进行跨时钟域分析提供了一种思路。 展开更多
关键词 fpga 跨时钟域 亚稳态 同步设计
下载PDF
关于异步FIFO设计的探讨 被引量:3
14
作者 熊骞 《光通信研究》 北大核心 2006年第4期37-39,共3页
在两个不同时钟域中传送数据时,异步先进先出(FIFO,F irst In F irstOut)通常被用来保证数据传送的安全性。将某一个时钟域中的数据安全地传送到另一个时钟域中,需要多异步时钟设计技术。关于FIFO设计方法的报道有很多,但我们很难分析... 在两个不同时钟域中传送数据时,异步先进先出(FIFO,F irst In F irstOut)通常被用来保证数据传送的安全性。将某一个时钟域中的数据安全地传送到另一个时钟域中,需要多异步时钟设计技术。关于FIFO设计方法的报道有很多,但我们很难分析其正确性。文章较为详细地介绍了利用格雷码指针实现不同时钟域数据传输的FIFO设计。 展开更多
关键词 异步 同步 时钟域 先进先出 安全
下载PDF
基于FPGA的通用多路视频输入处理系统设计 被引量:1
15
作者 宋长骏 汤勇明 《电子器件》 CAS 北大核心 2022年第4期805-809,共5页
为了解决多路视频并行输入缓冲处理问题,提出了一种以FPGA为核心的通用多路视频输入处理系统。通过规划对应的多时钟域处理方案,建立适用的视频缓冲控制模式,为后续实现视频缩放拼接、画中画显示等功能提供解决方案。借助该视频缓冲控... 为了解决多路视频并行输入缓冲处理问题,提出了一种以FPGA为核心的通用多路视频输入处理系统。通过规划对应的多时钟域处理方案,建立适用的视频缓冲控制模式,为后续实现视频缩放拼接、画中画显示等功能提供解决方案。借助该视频缓冲控制模式,本系统实现四进一出视频拼接、画中画叠加等显示功能,在12.5 GB/s存储带宽条件下支持1080P 60帧视频格式下的4路视频输入和1路输出处理。 展开更多
关键词 多视频输入处理 跨时钟设计 fpga
下载PDF
基于国产FPGA的网络平台跨时钟域设计方法 被引量:2
16
作者 贾永兴 杨宏 +2 位作者 刘文慧 陈明 滕杰 《通信技术》 2021年第10期2447-2450,共4页
针对关键电子元器件“卡脖子”问题,采用国产FPGA设计了网络平台。FPGA工作频率高,功能需求复杂,外围器件多样,给FPGA设计带来了更多要求,其中跨时钟域设计问题显得尤为重要。因此,结合工程实践,针对单比特控制信号和多比特并行信号分... 针对关键电子元器件“卡脖子”问题,采用国产FPGA设计了网络平台。FPGA工作频率高,功能需求复杂,外围器件多样,给FPGA设计带来了更多要求,其中跨时钟域设计问题显得尤为重要。因此,结合工程实践,针对单比特控制信号和多比特并行信号分别提出了合理的跨时钟域同步方法,并给出了性能测试结果,对网络通信相关领域的FPGA设计实现具有借鉴意义。 展开更多
关键词 国产fpga 网络平台 跨时钟域 同步方法
下载PDF
基于I^2S接口的FPGA的音频数据传输 被引量:3
17
作者 林嘉 陈素琼 苏凯雄 《电气技术》 2018年第12期6-10,共5页
4K原始数据转换成4路3G-SDI,需对音、视频进行分别处理。本文设计了一种I^2S音频数据处理电路,以I^2S协议为基础,使用HV2芯片和FPGA开发板,实现I^2S音频数据的传输,解决跨时钟域传输数据的问题。利用CTS生成器改善电源抑制,降低输出抖... 4K原始数据转换成4路3G-SDI,需对音、视频进行分别处理。本文设计了一种I^2S音频数据处理电路,以I^2S协议为基础,使用HV2芯片和FPGA开发板,实现I^2S音频数据的传输,解决跨时钟域传输数据的问题。利用CTS生成器改善电源抑制,降低输出抖动和噪声性能。仿真测试表明音频数据能够被完整的传输。 展开更多
关键词 I^2S协议 fpga HV2 芯片 跨时钟域 CTS 生成器
下载PDF
基于FPGA的异步跨时钟域设计 被引量:3
18
作者 黄琳 王新 胡成辉 《山西电子技术》 2020年第1期76-78,共3页
针对在FPGA的设计中异步跨时钟域设计经常出现时序不满足的问题,提出了一种异步时钟跨时钟域的设计方法。通过对FPGA底层硬件芯片的理解进行verilog程序的写作,能够有效防止FPGA在跨时钟域设计时出现的时序不满足而引起的问题。仿真及... 针对在FPGA的设计中异步跨时钟域设计经常出现时序不满足的问题,提出了一种异步时钟跨时钟域的设计方法。通过对FPGA底层硬件芯片的理解进行verilog程序的写作,能够有效防止FPGA在跨时钟域设计时出现的时序不满足而引起的问题。仿真及实验结果表明,该设计方法能够有效防止跨时钟域出错的情况出现。 展开更多
关键词 fpga 跨时钟域 时序
下载PDF
FPGA在数据重排中的跨时钟处理 被引量:2
19
作者 游斌相 廖育富 +1 位作者 任午龙 马婕 《火控雷达技术》 2021年第3期59-63,共5页
数据重排是雷达信号处理的关键步骤,但是面临着数据量大、实时性高及接口复杂等特点,本文采用FPGA和DDR3的硬件架构,灵活地使用乒乓操作、异步FIFO及相关信号的状态反压等控制技巧,提出了一种跨多时钟域处理的设计,通过仿真分析和板卡实... 数据重排是雷达信号处理的关键步骤,但是面临着数据量大、实时性高及接口复杂等特点,本文采用FPGA和DDR3的硬件架构,灵活地使用乒乓操作、异步FIFO及相关信号的状态反压等控制技巧,提出了一种跨多时钟域处理的设计,通过仿真分析和板卡实测,能满足新体制雷达大批量信号处理需求。 展开更多
关键词 fpga 数据重排 跨时钟域处理
下载PDF
使用FIFO完成数据传输与同步(中) 被引量:1
20
作者 赵震甲 《中国集成电路》 2005年第10期57-60,共4页
将数据从一个时钟域同步至另一个时钟域,常用的两个方法为:1、使用握手(handshake)信号;2、使用FIFO。使用握手方法的缺点是传递及辩识用于数据传输的所有握手信号所需的潜伏时间(latency)会增加延迟并降低传输效率。因此时钟域之间传... 将数据从一个时钟域同步至另一个时钟域,常用的两个方法为:1、使用握手(handshake)信号;2、使用FIFO。使用握手方法的缺点是传递及辩识用于数据传输的所有握手信号所需的潜伏时间(latency)会增加延迟并降低传输效率。因此时钟域之间传递数据最常用的方法是使用FIFO。异步FIFO的运作(operation)方法是:数据从一个时钟域写入FIFO,该数据从另一个时钟域自FIFO读出。本文讨论两种异步FIFO的设计技巧:1、比较同步指针;2、比较异步指针。 展开更多
关键词 先进先出存储存器fifo(Fir st-In-First-Out memories) 位(bit) 时钟边界(clock boundary) 时钟域(clock domain) 时钟沿(clock edge) 格雷码(Gray code) 亚稳定状态(metastability) 指针(pointer) 读指针(read pointer) 写指针(write pointer) 读时钟(read clock) 写时钟(write clock) 读运作(read operation) 写运作(write operation) 同步(synchronize) 同步作用(synchronization) 同步器(synchronizer) 异步(asynchronous) 异步fifo
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部