期刊文献+
共找到29篇文章
< 1 2 >
每页显示 20 50 100
基于FPGA的DDR3-SDRAM控制器用户接口设计 被引量:10
1
作者 丁宁 马游春 +1 位作者 秦丽 韩帅 《科学技术与工程》 北大核心 2014年第17期225-229,共5页
为了满足高速图像数据采集系统中对高带宽和大容量的要求,利用Virtex-7系列FPGA外接DDR3-SDRAM的设计方法,提出了一种基于Verilog-HDL语言的DDR3-SDRAM控制器用户接口设计方案。该控制器用户接口已经在Xilinx公司的VC707开发板上通过了... 为了满足高速图像数据采集系统中对高带宽和大容量的要求,利用Virtex-7系列FPGA外接DDR3-SDRAM的设计方法,提出了一种基于Verilog-HDL语言的DDR3-SDRAM控制器用户接口设计方案。该控制器用户接口已经在Xilinx公司的VC707开发板上通过了功能验证,并成功的被应用到高速图像数据采集系统中。含有该用户接口的控制器具有比一般的控制器接口带宽利用率高、可移植性强和成本低的优点,可以根据设计人员的需要被灵活地应用到不同的工程。 展开更多
关键词 ddr3-sdram控制器 用户接口 FPGA 数据存储
下载PDF
基于FPGA的DDR3 SDRAM控制器设计及实现 被引量:23
2
作者 张刚 贾建超 赵龙 《电子科技》 2014年第1期70-73,共4页
DDR3 SDRAM是第三代双倍数据传输速率同步动态随机存储器,以其大容量、高速率和良好的兼容性得到了广泛应用。文中介绍了DDR3的特点和操作原理,以及利用MIG软件工具在Virtex-6系列FPGA中实现DDR3 SDRAM控制器的设计方法,并进行硬件测试... DDR3 SDRAM是第三代双倍数据传输速率同步动态随机存储器,以其大容量、高速率和良好的兼容性得到了广泛应用。文中介绍了DDR3的特点和操作原理,以及利用MIG软件工具在Virtex-6系列FPGA中实现DDR3 SDRAM控制器的设计方法,并进行硬件测试。验证了DDS3控制器的可行性,其工作稳定、占用资源少、可植性强等。 展开更多
关键词 FPGA ddr3 SDRAM控制器 MIG
下载PDF
基于FPGA的DDR3 SDRAM控制器设计 被引量:8
3
作者 黄姣英 赵如豪 +1 位作者 王琪 高成 《现代电子技术》 2022年第22期68-74,共7页
存储器控制器技术研究对于大幅降低处理器访问存储器带来的时间延迟、缓解“存储墙”问题有着十分重要的意义,常规的依赖MIG IP核设计的存储器控制器难以进行访存延迟的测试。文中选取MT41K128M16JT型号DDR3 SDRAM,基于FPGA设计DDR3 SD... 存储器控制器技术研究对于大幅降低处理器访问存储器带来的时间延迟、缓解“存储墙”问题有着十分重要的意义,常规的依赖MIG IP核设计的存储器控制器难以进行访存延迟的测试。文中选取MT41K128M16JT型号DDR3 SDRAM,基于FPGA设计DDR3 SDRAM控制器的控制模块。首先研究DDR3 SDRAM的工作原理及状态转换图;接着将控制模块划分为初始化模块、刷新模块、状态产生模块、状态控制模块四部分,使用Verilog语言进行RTL级代码实现,找到关键的时序延迟接口;最后在ModelSim中完成DDR3 SDRAM控制器控制模块的仿真。仿真结果表明,初始化、刷新等模块的输出波形满足设计的时序要求,写入的数据与读出的数据一致,可有效实现对DDR3 SDRAM初始化、刷新、写、读功能的控制。DDR3 SDRAM控制器底层代码的编写为访存延迟的测试提供了可能。 展开更多
关键词 控制器设计 ddr3 SDRAM 访存延迟 仿真测试 FPGA Verilog HDL
下载PDF
基于FPGA的DDR3控制器设计 被引量:7
4
作者 焦淑红 程仁涛 《电子科技》 2015年第7期41-43,共3页
存介绍了DDR3 SDRAM的技术特点、工作原理,以及控制器的构成。利用Xilinx公司的MIG软件工具在Virtex-6系列FPGA芯片上,实现了控制器的设计方法,并给出了ISim仿真验证结果,验证了该设计方案的可行性。
关键词 FPGA ddr3 SDRAM控制器 MIG ISim
下载PDF
基于FPGA的DDR3 SDRAM控制器设计及实现 被引量:2
5
作者 韩进 张览 刘锴 《大众科技》 2016年第10期1-4,共4页
文章基于Verilog语言设计并实现了一款基于高云FPGA芯片的DDR3 SDRAM控制器系统。本设计以GW2A55作为核心,参照JESD79-3F工业标准定义的DDR3 SDRAM时序操作、状态转换、接口定义等规范,实现了控制器的设计。设计主要分为控制层和物理传... 文章基于Verilog语言设计并实现了一款基于高云FPGA芯片的DDR3 SDRAM控制器系统。本设计以GW2A55作为核心,参照JESD79-3F工业标准定义的DDR3 SDRAM时序操作、状态转换、接口定义等规范,实现了控制器的设计。设计主要分为控制层和物理传输层两个逻辑层级。通过综合验证本设计数据传输接口的速率可达到800Mhz,高负载运行下错误数据校准率为100%,芯片逻辑资源占用率低于6.5%,因此能够满足用户对高速数据传输以及可靠性和低资源占用的要求,同时具备同家族芯片可移植性强的优势,并给出了系统功能仿真的验证结果。 展开更多
关键词 FPGA 高云GW2A55 ddr3 SDRAM控制器 JESD79-3F
下载PDF
高速图像压缩系统中DDR3控制器的实现 被引量:5
6
作者 陈占良 金龙旭 +1 位作者 陶宏江 韩双丽 《电光与控制》 北大核心 2016年第8期85-88,共4页
数字遥感图像具有数据量大、实时处理等特点,为了满足实时图像处理系统对大容量和高带宽存储系统的需求,利用spartan6系列FPGA内嵌的DDR3控制器IP核实现对DDR3存储器的读写操作,把DDR3存储器复杂的读写时序操作简化为简单的用户接口。... 数字遥感图像具有数据量大、实时处理等特点,为了满足实时图像处理系统对大容量和高带宽存储系统的需求,利用spartan6系列FPGA内嵌的DDR3控制器IP核实现对DDR3存储器的读写操作,把DDR3存储器复杂的读写时序操作简化为简单的用户接口。通过介绍DDR3存储器的特点和DDR3控制器的工作原理,并对生成的DDR3控制器进行硬件测试,证明了该控制器性能稳定;通过配置参数和接口设计把该控制器成功地应用到实时图像压缩系统中,该DDR3控制器的简单接口和灵活配置,以及DDR3存储器高带宽、大容量的特点,使DDR3存储器得到了广泛的应用。 展开更多
关键词 图像处理 数据存储 图像压缩系统 FPGA ddr3控制器
下载PDF
基于FPGA的DDR3 SDRAM控制器的设计与优化 被引量:9
7
作者 宋明 赵英潇 林钱强 《电子科技》 2016年第11期47-50,共4页
为解决超高速采集系统中的数据缓存问题,文中基于Xilinx Kintex-7 FPGA MIG_v1.9 IP核进行了DDR3SDRAM控制器的编写,分析并提出了提高带宽利用率的方法。最终将其进行类FIFO接口的封装,屏蔽掉了DDR3 IP核复杂的用户接口,为DDR3数据流缓... 为解决超高速采集系统中的数据缓存问题,文中基于Xilinx Kintex-7 FPGA MIG_v1.9 IP核进行了DDR3SDRAM控制器的编写,分析并提出了提高带宽利用率的方法。最终将其进行类FIFO接口的封装,屏蔽掉了DDR3 IP核复杂的用户接口,为DDR3数据流缓存的实现提供便利。系统测试表明,该设计满足大容量数据缓存要求,并具有较强的可移植性。 展开更多
关键词 FPGA ddr3 SDRAM MIG 读写控制器 状态机
下载PDF
高速DDR3存储控制器的时钟偏差控制和优化 被引量:1
8
作者 胡军涛 薛智民 +2 位作者 龙娟 赵亮 石文侠 《微电子学与计算机》 CSCD 北大核心 2018年第10期103-106,共4页
基于65nm工艺,完成了高性能海量处理器芯片中的高速DDR3存储控制器的物理设计.重点介绍了DDR3存储控制器物理设计中的布图布局设计和时钟树设计,并针对EDA工具自动生成时钟树导致的DDR3PHY域内总线时钟偏差较大问题,提出并实现精确手动... 基于65nm工艺,完成了高性能海量处理器芯片中的高速DDR3存储控制器的物理设计.重点介绍了DDR3存储控制器物理设计中的布图布局设计和时钟树设计,并针对EDA工具自动生成时钟树导致的DDR3PHY域内总线时钟偏差较大问题,提出并实现精确手动干预关键时钟路径上的时钟树设计优化方法,并进一步采用寄存器逻辑优化方式,成功将DDR3PHY域内总线时钟偏差控制在30ps内,满足设计要求的性能. 展开更多
关键词 ddr3存储控制器 布图布局 时钟树 手动干预 时钟偏差
下载PDF
FPGA的SDR/DDR3 SDRAM控制器设计 被引量:10
9
作者 潘亮 穆仕博 +1 位作者 何广亮 袁晓垒 《单片机与嵌入式系统应用》 2020年第1期52-55,共4页
本文在研究SDR存储机理和芯片内部操作的基础上,基于PCI总线和FPGA技术设计其接口控制器,并在Vivado 16.2软件环境中用VHDL编程实现,同时进一步根据课题需要梳理DDR3存储特点,开展DDR3控制器设计。针对联调中关键难题,如模式寄存器加载... 本文在研究SDR存储机理和芯片内部操作的基础上,基于PCI总线和FPGA技术设计其接口控制器,并在Vivado 16.2软件环境中用VHDL编程实现,同时进一步根据课题需要梳理DDR3存储特点,开展DDR3控制器设计。针对联调中关键难题,如模式寄存器加载、数据流同步等,提出了解决办法。利用某PCI板卡和某型Xilinx评估板搭建了硬件测试平台并完成两型控制器的硬件测试。测试结果表明,所设计的控制器满足课题要求,具有一定工程应用价值。 展开更多
关键词 SDR控制器 ddr3控制器 PCI总线 FPGA
下载PDF
基于Kintex⁃7 FPGA的DDR3 SDRAM高速访存控制器优化与实现 被引量:7
10
作者 李金凤 黄纬然 +1 位作者 赵雨童 郭巾男 《现代电子技术》 2021年第20期112-116,共5页
针对高速视频图像在跨时钟域数据交互中存在的帧交错及DDR3 SDRAM带宽利用率较低的问题,提出一种新的DDR3 SDRAM访存控制方法,实现多路视频数据快速、高效访存。以Kintex⁃7 FPGA为控制核心,在VIVADO MIG IP核基础上,实现读写位宽比为10... 针对高速视频图像在跨时钟域数据交互中存在的帧交错及DDR3 SDRAM带宽利用率较低的问题,提出一种新的DDR3 SDRAM访存控制方法,实现多路视频数据快速、高效访存。以Kintex⁃7 FPGA为控制核心,在VIVADO MIG IP核基础上,实现读写位宽比为10∶1的异步FIFO,并结合RAM构建读写缓存控制模块,提高DDR3 SDRAM带宽利用率。设计不完全乒乓操作,并采用分区缓存确保帧数据完整。对8路分辨率为1920×1080的RGB888视频图像数据进行并行读、写操作。实验结果表明,该系统能有效实现8路高速视频数据的访存,帧完整,系统的有效带宽利用率可达74.69%,图像帧率可达48 Hz。满足了高分辨率实时图像显示要求,克服了帧交错问题,提高了DDR3 SDRAM的有效带宽利用率,具有较强的可移植性,为进一步实现多路视频数据协同处理提供了参考。 展开更多
关键词 访存控制器 ddr3 SDRAM FPGA 异步FIFO 乒乓操作 并行设计
下载PDF
基于FPGA的DDR3内存控制器的设计
11
作者 陈涛 周冬梅 《信息通信》 2015年第3期40-40,共1页
随着DDR3内存的广泛应用以及技术的不断发展,不同的DDR3内存之间速度差异逐渐减小,针对DDR3控制器的研究已成为当前计算机领域关注的焦点。Altera在外部存储器方面提出一系列的解决方案,这些方案涵盖了从高性能DDR3到低功耗DDR的每种应... 随着DDR3内存的广泛应用以及技术的不断发展,不同的DDR3内存之间速度差异逐渐减小,针对DDR3控制器的研究已成为当前计算机领域关注的焦点。Altera在外部存储器方面提出一系列的解决方案,这些方案涵盖了从高性能DDR3到低功耗DDR的每种应用[2]。Altera FPGA通过外部存储器IP来提高存储器性能,它包括PHY和控制器。设计人员可以选择Quartus II软件所列出的默认存储器解决方案,根据存储器要求选择最佳PHY和控制器IP,也可以选择定制存储器接口。因此,使用Altera公司开发出的FPGA产品进行DDR3内存控制器的设计是一种很理想的选择。 展开更多
关键词 ddr3 内存控制器
下载PDF
基于DDR3-SDRAM的图像采集与显示系统 被引量:10
12
作者 陈一波 杨玉华 +3 位作者 王红亮 邸丽霞 彭晴晴 王朝杰 《电子器件》 CAS 北大核心 2017年第3期702-707,共6页
为了改善运动目标拖影现象和满足高分辨率实时图像显示的要求,设计了一种基于DDR3-SDRAM的图像采集系统。系统以FPGA为控制核心,前端采用500万级摄像头OV5640完成图像采集,利用单颗粒DDR3-SDRAM通过分区缓存以及乒乓操作实现数据高效缓... 为了改善运动目标拖影现象和满足高分辨率实时图像显示的要求,设计了一种基于DDR3-SDRAM的图像采集系统。系统以FPGA为控制核心,前端采用500万级摄像头OV5640完成图像采集,利用单颗粒DDR3-SDRAM通过分区缓存以及乒乓操作实现数据高效缓存。实验结果表明单颗粒DDR3-SDRAM通过合理分区以及乒乓操作可以有效提高缓存效率,极大程度上改善了缓存速率不足导致的运动目标拖影现象,实现了高分辨率实时图像显示的要求。 展开更多
关键词 ddr3-sdram 图像采集 DVI接口 乒乓操作
下载PDF
基于FPGA的DDR3控制器研究与实现
13
作者 洪峰 《通讯世界》 2022年第9期123-125,共3页
DDR3控制器是一款经典的存储控制器,在计算机体系中处于核心位置,具有较高的研究价值。但因其协议的复杂性和高速传输特性,造成整体设计实现较为复杂,在一般技术和成本条件下较难实现,不利于其理论的研究和教学需要。设计了一个基于现... DDR3控制器是一款经典的存储控制器,在计算机体系中处于核心位置,具有较高的研究价值。但因其协议的复杂性和高速传输特性,造成整体设计实现较为复杂,在一般技术和成本条件下较难实现,不利于其理论的研究和教学需要。设计了一个基于现场可编程逻辑门阵列(field programmable gate array,FPGA)的低时钟频率的DDR3控制器。通过减少逻辑状态等方式,降低了DDR3控制器实现的复杂性,有利于科研人员、教育人士对比研究,期望能够为相关人员提供参考。 展开更多
关键词 ddr3控制器 FPGA 低时钟频率
下载PDF
基于DDR3-SDRAM的高速视频传输系统 被引量:5
14
作者 马游春 王悦凯 丁宁 《电子技术应用》 北大核心 2015年第12期69-71,75,共4页
设计了一种基于多片DDR3-SDRAM多BANK存储技术的传输系统,用于高速视频图像的传输。采用Camera Link总线技术用来接收视频图像数据,采用DDR3-SDRAM用来转存数据,对系统软件进行了搭建,对数据进行编码,并对DDR3-SDRAM多BANK存储进行仿真... 设计了一种基于多片DDR3-SDRAM多BANK存储技术的传输系统,用于高速视频图像的传输。采用Camera Link总线技术用来接收视频图像数据,采用DDR3-SDRAM用来转存数据,对系统软件进行了搭建,对数据进行编码,并对DDR3-SDRAM多BANK存储进行仿真及分析。结果表明,DDR3-SDRAM多BANK存储技术可以有效地提高DDR3-SDRAM的工作效率,满足高速视频存储的需求。 展开更多
关键词 ddr3-sdram 多BANK 存储 高速视频
下载PDF
一种应用于SOC的DDR控制器的设计 被引量:1
15
作者 周友宏 韩琼磊 《中国集成电路》 2016年第12期23-25,74,共4页
本文介绍了一种应用于SOC中的DDR控制器的设计。该DDR控制器支持DFI 3.0标准协议。控制器模块与片上其它逻辑模块之间的通信采用广泛应用于SOC的AHB2配置接口和AXI3数据访问接口标准。控制器与PHY之间的接口采用DFI 3.0接口标准,从而可... 本文介绍了一种应用于SOC中的DDR控制器的设计。该DDR控制器支持DFI 3.0标准协议。控制器模块与片上其它逻辑模块之间的通信采用广泛应用于SOC的AHB2配置接口和AXI3数据访问接口标准。控制器与PHY之间的接口采用DFI 3.0接口标准,从而可以最大限度的连接不同来源的PHY。 展开更多
关键词 ddr控制器 AXI 3接口 数据访问接口 配置接口
下载PDF
高速图像处理系统中DDR2-SDRAM接口的设计 被引量:15
16
作者 陈雨 陈科 安涛 《现代电子技术》 2011年第12期104-107,110,共5页
为了满足高速图像处理系统中需要高接口带宽和大容量存储的目的,采用了FPGA外接DDR2-SDRAM的设计方法,提出一种基于VHDL语言的DDR2-SDRAM控制器的方案,针对高速图像处理系统中的具体情况,在Xilinx的ML506开发板上搭建了简单的图像处理... 为了满足高速图像处理系统中需要高接口带宽和大容量存储的目的,采用了FPGA外接DDR2-SDRAM的设计方法,提出一种基于VHDL语言的DDR2-SDRAM控制器的方案,针对高速图像处理系统中的具体情况,在Xilinx的ML506开发板上搭建了简单的图像处理系统平台并进行了连续读/写标准VGA格式图像数据的实验,在显示端得到了清晰不掉帧的图像结果,具有结构简单和高速存取图像的特点。 展开更多
关键词 图像处理 ddr2-sdram控制器 FPGA 缓存设计
下载PDF
FPGA实现高速实时多端口SDRAM控制器的研究 被引量:5
17
作者 樊博 王延杰 +2 位作者 孙宏海 陈怀章 何舒文 《计算机工程与应用》 CSCD 2013年第12期60-64,共5页
为了满足多个设备同时存取高速数据的需求,介绍了利用Xilinx高性能可编程逻辑器件Virtex6 FPGA实现高速实时多端口DDR3 SDRAM控制器的原理和方法,在一个实时图像处理系统平台上实现了对单片SO-DIMM DDR3内存条的多设备实时访问控制。通... 为了满足多个设备同时存取高速数据的需求,介绍了利用Xilinx高性能可编程逻辑器件Virtex6 FPGA实现高速实时多端口DDR3 SDRAM控制器的原理和方法,在一个实时图像处理系统平台上实现了对单片SO-DIMM DDR3内存条的多设备实时访问控制。通过ChipScope工具采样输入输出数据,验证其可行性,分析计算出端口速率和其他主要时间参数。实验结果显示高速实时多端口SDRAM控制器具有集成度高、传输带宽高、功耗低的优点。在多设备同时读写高速数据的系统中具有很高的实用价值。 展开更多
关键词 现场可编程门阵列(FPGA) 实时 多端口 ddr3 控制器 ChipScope
下载PDF
用于DDR3访存优化的数据缓冲机制 被引量:7
18
作者 陈胜刚 付兴飞 +1 位作者 曾思 刘胜 《国防科技大学学报》 EI CAS CSCD 北大核心 2017年第6期39-44,共6页
为提高DDR3控制器访存效率,设计了基于DDR3存储器预取访问数据长度的数据缓冲机制,将访存请求分为三种基本类型并分别排队处理,降低数据丢弃和实际动态随机访问存储器访问发生次数。针对图像和视频类应用程序的实验结果表明,相对于传统... 为提高DDR3控制器访存效率,设计了基于DDR3存储器预取访问数据长度的数据缓冲机制,将访存请求分为三种基本类型并分别排队处理,降低数据丢弃和实际动态随机访问存储器访问发生次数。针对图像和视频类应用程序的实验结果表明,相对于传统先到先服务的DDR3访存控制器,该机制取得了平均21.3%、最好51.3%的性能提升,硬件开销在可接受范围内。 展开更多
关键词 ddr3控制器 访存优化 数据缓冲
下载PDF
利用时间轮询方式操作DDR3实现多模式下数据重排 被引量:2
19
作者 王辉辉 汪慧 《火控雷达技术》 2016年第1期69-73,共5页
针对多模式多数据速率导致DDR3写操作易出错的问题,提出了一种利用时间轮询方式操作DDR3的方法。该方法结合数据速率产生写脉冲,利用写脉冲来启动操作DDR3,实现了多模式下不同数据速率的数据重排。试验结果表明:该方法能很好的实现不同... 针对多模式多数据速率导致DDR3写操作易出错的问题,提出了一种利用时间轮询方式操作DDR3的方法。该方法结合数据速率产生写脉冲,利用写脉冲来启动操作DDR3,实现了多模式下不同数据速率的数据重排。试验结果表明:该方法能很好的实现不同模式数据重排的无缝衔接,保证了大数据量多模式雷达平台的工程实现。 展开更多
关键词 多模式 时间轮询 ddr3-sdram 数据重排
下载PDF
基于AXI4的卫星接收机DDR3多端口存储的设计 被引量:7
20
作者 张宇嘉 杨晓非 姚行中 《电子器件》 CAS 北大核心 2016年第3期617-622,共6页
针对卫星图像实时接收与处理系统提出的实际应用需求,采用Xilinx Virtex 6系列FPGA为平台设计了一种基于AXI4总线结构的多端口DDR3 SDRAM存储控制器。允许多模块实时对单一DDR3存储器进行访问,满足现有系统中不同模块需同时缓存各阶... 针对卫星图像实时接收与处理系统提出的实际应用需求,采用Xilinx Virtex 6系列FPGA为平台设计了一种基于AXI4总线结构的多端口DDR3 SDRAM存储控制器。允许多模块实时对单一DDR3存储器进行访问,满足现有系统中不同模块需同时缓存各阶段卫星图像的需求。实际功能验证和ChipScope采样读写信号证明了系统的可行性与可靠性,计算得出最大传输带宽达6.0 Gbit/s、最高带宽利用率在70%~93%之间。应用AXI4总线结构,本多端口存储控制器在高速数据读写系统中具有很高的拓展应用价值。 展开更多
关键词 存储控制器 多端口 AXI4 ddr3 SDRAM FPGA 卫星图像接收处理
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部