期刊文献+
共找到8,500篇文章
< 1 2 250 >
每页显示 20 50 100
基于DIgSILENT数据接口DGS的含风电电力系统随机潮流分析 被引量:2
1
作者 孙雯 戎晓雪 +2 位作者 别朝红 石文辉 柯丹 《电网与清洁能源》 2014年第10期102-110,共9页
同一风区的不同风电场由于地理位置相近,各风电场的风速和出力具有强相关性。针对该特点,提出了一种考虑风电场之间相关性的含风电电力系统随机潮流算法。首先建立了多个风电场出力的离散化联合概率分布模型,在此基础上采用线性化潮流... 同一风区的不同风电场由于地理位置相近,各风电场的风速和出力具有强相关性。针对该特点,提出了一种考虑风电场之间相关性的含风电电力系统随机潮流算法。首先建立了多个风电场出力的离散化联合概率分布模型,在此基础上采用线性化潮流方法分析随机潮流的各项指标。结合随机潮流算法和DIg SILENT软件的优势,研究了一种基于DIg SILENT数据接口DGS的数据转换方法,有效地提高了计算效率。最后利用IEEE-24节点系统以及我国新疆地区2015年规划电网进行方法验证。算例结果表明,建立的考虑风电场相关性的含风电电力系统随机潮流算法具有可行性和优越性,DGS数据接口技术可以使该潮流算法在实际大型电力系统中推广使用,工程实用性较强。 展开更多
关键词 风速相关性 随机潮流 线性化 digsilent数据接口
下载PDF
基于数据接口的Ajax页面数据采集技术
2
作者 卢明俊 卢守东 《电脑知识与技术》 2024年第7期46-48,共3页
互联网中的许多网站均已采用了Ajax技术,相应的Ajax页面中的数据是通过异步加载的方式予以呈现的,并未包含在页面源代码中,因此难以对其进行采集。针对此问题,介绍一种基于数据接口的Ajax页面数据采集技术,并通过具体的实例说明其编程... 互联网中的许多网站均已采用了Ajax技术,相应的Ajax页面中的数据是通过异步加载的方式予以呈现的,并未包含在页面源代码中,因此难以对其进行采集。针对此问题,介绍一种基于数据接口的Ajax页面数据采集技术,并通过具体的实例说明其编程模式。 展开更多
关键词 数据采集 AJAX 数据接口 PYTHON
下载PDF
强类型JSON数据交换接口生成器的设计与实现
3
作者 黄向平 刘中一 阎松柏 《信息技术与信息化》 2024年第5期80-84,共5页
介绍了一个C++开发环境中利用JSON schema与C++数据类的动态映射工具(Mapper),所提出工具的特点是借鉴WSDL2Code原理,充分利用强类型高级面向对象语言的特性,消除了手工编写用于解析和生成JSON结构的数据交换接口代码的笨拙编程方式,不... 介绍了一个C++开发环境中利用JSON schema与C++数据类的动态映射工具(Mapper),所提出工具的特点是借鉴WSDL2Code原理,充分利用强类型高级面向对象语言的特性,消除了手工编写用于解析和生成JSON结构的数据交换接口代码的笨拙编程方式,不仅提高了开发效率而且数据交换接口统一规范,提升了代码可维护性。同时,借助开发平台通用的智能感知(Intellisenese)技术,实现了接口字段的便捷键入,进一步提高了开发的效率,降低了程序员发生低级失误的可能。所提出的框架由Python编码完成,无须第三方插件,适用于包含标准模板库(STL)的C++开发环境,已经在实际项目中使用,反馈效果良好。 展开更多
关键词 MAPPER 数据交换接口 JSONschema 面向对象
下载PDF
面向数据可视化的自然语言接口研究综述
4
作者 高帅 奚雪峰 +2 位作者 郑倩 崔志明 盛胜利 《计算机工程与应用》 CSCD 北大核心 2024年第15期24-41,共18页
数据可视化领域长期以来的目标是寻找直接从自然语言生成可视化的解决方案,而自然语言接口(NLI)的研究为该领域提供了新的解决办法。该接口接受自然语言形式的查询和表格数据集作为输入,并输出与之对应的可视化渲染。在作为一种辅助输... 数据可视化领域长期以来的目标是寻找直接从自然语言生成可视化的解决方案,而自然语言接口(NLI)的研究为该领域提供了新的解决办法。该接口接受自然语言形式的查询和表格数据集作为输入,并输出与之对应的可视化渲染。在作为一种辅助输入方式的同时,传统用户需将分析意图转化为一系列逻辑操作并与之进行交互(如编程指令或图形化界面操作方式等),与利用面向数据可视化的自然语言接口(DV-NLI)相结合,能够使用户专注于可视化任务,而无需担心如何操作可视化工具。近年来,随着大语言模型(LLM)GPT-3、GPT-4的兴起,将LLM与可视化相结合已成为研究热点。对现有的DV-NLI进行了全面的回顾,并进行了新的研究补充。按照其实现方法,将DV-NLI分为符号化NLP方法、深度学习模型方法、大语言模型方法三类,对每个分类下的相关技术进行分析论述。最后,总结并展望DV-NLI的未来工作。 展开更多
关键词 数据可视化 自然语言接口 机器学习 神经网络模型 大语言模型
下载PDF
基于ARM+FPGA实现多种类型接口数据传输的设计方法
5
作者 王晓旭 《河南科技》 2024年第6期16-19,共4页
【目的】天线实时角度测量设备与天线的控制单元、环形器、信号处理、模拟器等多个外部设备之间存在多种类型的数据传输接口,为满足这些接口在互不干扰的情况下,并行完成高速、准确、无延时的数据传输。【方法】硬件电路采用ARM+FPGA架... 【目的】天线实时角度测量设备与天线的控制单元、环形器、信号处理、模拟器等多个外部设备之间存在多种类型的数据传输接口,为满足这些接口在互不干扰的情况下,并行完成高速、准确、无延时的数据传输。【方法】硬件电路采用ARM+FPGA架构,以总线方式将ARM与FPGA通过地址线、数据线、读/写允许、片选、中断信号等管脚接口逻辑连接。由ARM处理器实现主控制程序,通过Keil软件开发,C语言设计。FPGA使用QuartusⅡ开发环境,VHDL语言设计,采用状态机和FIFO技术实现时序、数据、信号输出的设计。【结果】该方法不仅满足了多种类型数据接口并行传输的高速率、实时性要求,而且具有可扩展性。【结论】该方法已经在测控产品中应用,效果显著。 展开更多
关键词 ARM FPGA 数据传输 接口
下载PDF
一种可测量回路数据的接口化保护屏柜
6
作者 刘洪兵 资容涛 解天柱 《电气技术与经济》 2024年第3期191-192,195,共3页
在综合自动化变电站中,保护屏柜的内部元件与外部设备的连接有交流电压回路、电流回路、开入回路、开出回路。其中开入回路、开出回路在装置动作输出时回路会有直流电压。电压回路、开入回路、开出回路都属于电压回路,目前保护屏柜的外... 在综合自动化变电站中,保护屏柜的内部元件与外部设备的连接有交流电压回路、电流回路、开入回路、开出回路。其中开入回路、开出回路在装置动作输出时回路会有直流电压。电压回路、开入回路、开出回路都属于电压回路,目前保护屏柜的外部电压、电流回路与内部电压、电流回路是在保护屏柜的端子排上进行回路接线连接,实现内外部电压、电流回路的连接,这种连接技术在保护屏柜的安装、运维阶段都存在一定问题,有待升级,因此本文介绍了一种可测量回路数据的接口化保护屏柜。 展开更多
关键词 回路数据 接口 保护屏
下载PDF
数据传输使用的非标接口
7
作者 《现代制造》 2024年第5期44-45,共2页
云技术和边缘计算像双胞胎一样谁也离不开谁,但当云技术已经在工业4.0中有了长足的发展时,边缘计算还刚刚起步。边缘计算到底有多大的发展潜力呢?
关键词 边缘计算 数据传输 双胞胎 发展潜力 接口
下载PDF
基于位置的天气实况数据服务接口设计与应用 被引量:1
8
作者 徐拥军 倪学磊 +3 位作者 郑波 霍庆 何文春 徐达 《计算机系统应用》 2023年第5期77-86,共10页
为保证气象部门各单位对外服务时天气实况数据的统一、准确和高时效,针对基于用户位置服务的应用场景,对我国区域范围内1 km分辨率的天气实况数据,按照经度进行1°间隔的切块整合,设计了分块数据处理、存储和查询模型;并采用微服务... 为保证气象部门各单位对外服务时天气实况数据的统一、准确和高时效,针对基于用户位置服务的应用场景,对我国区域范围内1 km分辨率的天气实况数据,按照经度进行1°间隔的切块整合,设计了分块数据处理、存储和查询模型;并采用微服务应用模式开发了天气实况数据服务接口,数据服务延时提升到分钟级,系统支持20万以上访问并发量,为解决同一时刻同一位置不同应用发布的天气实况数据不一致的问题提供了技术支撑.截至2021年12月,已向全国气象部门54个应用提供天气实况数据,单月访问量超过1亿,系统的高并发、高时效、高可用得到验证. 展开更多
关键词 用户位置 微服务 天气实况数据 服务接口
下载PDF
基于双接口分集和数据样本的脉冲噪声抑制算法
9
作者 陈智雄 张志坤 赵雄文 《电工技术学报》 EI CSCD 北大核心 2023年第13期3400-3412,共13页
针对电力线与无线双接口通信中的电力线突发脉冲噪声(BIN)的干扰问题,提出一种基于分集信号抵消和自适应阈值估计(DSC-ATE)的BIN抑制算法。首先,利用电力线和无线并行信道传输相同的分集信号,接收端通过分集信号抵消获得脉冲噪声样本,... 针对电力线与无线双接口通信中的电力线突发脉冲噪声(BIN)的干扰问题,提出一种基于分集信号抵消和自适应阈值估计(DSC-ATE)的BIN抑制算法。首先,利用电力线和无线并行信道传输相同的分集信号,接收端通过分集信号抵消获得脉冲噪声样本,降低峰平比对阈值估计的影响;然后利用噪声样本对非线性函数的最佳阈值进行估计;最后对脉冲噪声进行抑制处理。为了提高算法的鲁棒性和可扩展性,该文通过引入折扣因子和学习率来实现算法在复杂度和精度之间的有效折中。与已有的非线性处理算法相比,该方法不需要噪声的先验统计信息,并可根据信道环境的变化自适应地调整阈值。仿真结果表明,所提算法在可靠性和阈值精度方面均具有显著提升。 展开更多
关键词 接口通信 突发脉冲噪声 数据样本 最佳阈值
下载PDF
基于通道组合-数据对齐-多尺度全局CNN的MI-EEG分类
10
作者 武岩 满建志 +1 位作者 宋雨 李奇 《重庆理工大学学报(自然科学)》 CAS 北大核心 2024年第3期102-112,共11页
由于运动想象脑机接口(MI-BCI)范式不需要视觉刺激,应用MI-BCI范式在提高人机交互系统舒适度方面具有重要意义。为实现辅助设备的异步控制,提高模型的鲁棒性,减少通道使用数量以降低BCI系统输入的复杂性,提出一种基于通道组合(channel c... 由于运动想象脑机接口(MI-BCI)范式不需要视觉刺激,应用MI-BCI范式在提高人机交互系统舒适度方面具有重要意义。为实现辅助设备的异步控制,提高模型的鲁棒性,减少通道使用数量以降低BCI系统输入的复杂性,提出一种基于通道组合(channel combination,CC)-数据对齐(euclidean space data alignment,EA)-多尺度全局卷积神经网络(multiscale global convolutional neural network,MGCNN)的运动想象脑电分类方法。通过引入大脑静息状态下的脑电信号,扩展MI-BCI输出指令集;利用CC将22通道脑电数据重构为左右对称通道加中间通道的3通道形式,重构后的数据经过EA方法规范后作为网络输入;构建多尺度卷积模块与全局卷积模块,并行提取脑电信号的局部特征和ERS/ERD全局特征;利用迁移学习提升模型的解码能力。结果表明:该方法在BCI Competition IV 2a数据集上达到了99.28%的平均准确率和0.99的Kappa值,提高了运动想象脑电分类精度,为在线异步运动想象脑机接口的应用与发展作出了贡献。 展开更多
关键词 运动想象 脑机接口 通道组合 卷积神经网络 数据对齐
下载PDF
大数据背景下高职专业课程资源建设的实践研究——以《单片机原理与接口技术》为例
11
作者 王平俊 裴阳 《汽车实用技术》 2023年第5期170-175,共6页
在大数据背景下,阐释当前高职专业课程资源建设的相关要求,通过对职业教育专业课程教与学问题分析,提出基于成果导向教育(OBE)理念的教学策略,构建一个较为全面系统的专业课程教学资源建设框架。以《单片机原理与接口技术》专业课程为例... 在大数据背景下,阐释当前高职专业课程资源建设的相关要求,通过对职业教育专业课程教与学问题分析,提出基于成果导向教育(OBE)理念的教学策略,构建一个较为全面系统的专业课程教学资源建设框架。以《单片机原理与接口技术》专业课程为例,通过对该课程学习领域、任务目标、教学资源内容等方面的实践分析,对高职院校加强专业课程教学资源建设提供有价值的建议。 展开更多
关键词 数据 课程资源建设 OBE理念 教学策略 《单片机原理与接口技术》课程
下载PDF
医疗脑机接口技术的法律规制
12
作者 李筱永 任静 吴佼玥 《残疾人研究》 2024年第2期55-63,共9页
区别于其他大脑神经技术和传统治疗方法,通过脑机接口技术可以传输、解读和记录神经数据。神经数据经过解码不仅可以反映健康信息,还可以揭露思想隐私。另外,脑机接口技术可以通过刺激直接干预患者的情绪、思想、甚至行为。对于医疗脑... 区别于其他大脑神经技术和传统治疗方法,通过脑机接口技术可以传输、解读和记录神经数据。神经数据经过解码不仅可以反映健康信息,还可以揭露思想隐私。另外,脑机接口技术可以通过刺激直接干预患者的情绪、思想、甚至行为。对于医疗脑机接口技术应该适度规制,需要兼顾生命身体健康权和人性尊严法益,神经数据合理利用和隐私保护。具体规.制路径包括:对医疗脑机接口技术研究进行严格的伦理审查,对脑机接口医疗器械进行特殊的审批监管,对知情同意的履行进行特别的程序保障,对神经数据进行专门的立法保护。 展开更多
关键词 医疗脑机接口 神经数据 人性尊严 伦理审查复核程序 医疗器械附条件审批
下载PDF
DIgSILENT与MATLAB接口的仿真建模 被引量:3
13
作者 袁天清 武小梅 +2 位作者 刘国祥 欧思源 孙健 《广东电力》 2014年第3期49-52,共4页
为充分利用电力系统仿真软件DIgSILENT开放性良好与数学模型软件包MATLAB数据处理能力强的优点,对DIgSILENT与MATLAB之间的接口技术进行了研究。在DIgSILENT中搭建调用接口模型并编写M文件来调用MATLAB的mdl控制模型,以实现联合仿真的... 为充分利用电力系统仿真软件DIgSILENT开放性良好与数学模型软件包MATLAB数据处理能力强的优点,对DIgSILENT与MATLAB之间的接口技术进行了研究。在DIgSILENT中搭建调用接口模型并编写M文件来调用MATLAB的mdl控制模型,以实现联合仿真的目的。仿真结果表明调用方法有效、正确,弥补了DIgSILENT环境下不易实现宠大数据处理的缺陷。 展开更多
关键词 digsilent软件 MATLAB软件 联合仿真 接口模型
下载PDF
物探生产数据开放服务平台的研发与应用
14
作者 周天宁 贾纯子 +2 位作者 韩善锋 宋占武 刘潇 《物探装备》 2024年第2期106-108,111,共4页
随着智能化地震队一系列软件推广应用,当前物探各工序实时生产数据得到了及时有效采集,并且存储在稳定可靠的存储媒介中。这些生产数据目前也有很多需求方,如终端导航、生产指挥、质量控制方面等。如何将存储的数据面向数据需求有效地... 随着智能化地震队一系列软件推广应用,当前物探各工序实时生产数据得到了及时有效采集,并且存储在稳定可靠的存储媒介中。这些生产数据目前也有很多需求方,如终端导航、生产指挥、质量控制方面等。如何将存储的数据面向数据需求有效地组织利用起来,成为当前亟待解决的问题。本文介绍一种物探生产数据开放服务平台,将数据以标准化接口服务方式开放,面向第三方开发者提供统一标准、统一流程的数据接口服务。从而将存储的数据面向数据需求有效的组织利用起来,打破信息孤岛,满足当前第三方应用对物探生产数据共建共享的需求。 展开更多
关键词 开放服务平台 数据接口 共享
下载PDF
机构学者知识库系统与数据集成平台系统接口设计与实现 被引量:2
15
作者 臧璆 汪春亮 《技术与市场》 2023年第4期31-35,共5页
介绍了苏州大学附属第二医院机构学者知识库系统与数据集成平台系统间的接口设计及实现方法。接口功能包括获取机构学者知识库系统数据方法、存储中间数据库及集成平台系统利用中间数据库所提供的视图读取对应学者成果内容的方法。最终... 介绍了苏州大学附属第二医院机构学者知识库系统与数据集成平台系统间的接口设计及实现方法。接口功能包括获取机构学者知识库系统数据方法、存储中间数据库及集成平台系统利用中间数据库所提供的视图读取对应学者成果内容的方法。最终,接口程序运行状况良好,收录大批学者成果详细信息。2套系统之间接口程序的设计与实现完成了2套独立系统之间的数据交互和共享,实现了2套独立系统的数据流通,帮助优化了人才培养模式,推动了医院人才培养工程。 展开更多
关键词 机构学者知识库系统 数据集成平台 接口设计与实现 数据
下载PDF
基于SpringBoot的数据库接口设计 被引量:1
16
作者 陈蓓蕾 洪年松 《信息与电脑》 2023年第16期181-183,共3页
系统前端与后端数据库的交互接口设计是数据库系统设计的主要组成部分。研究基于SpringBoot的数据库接口设计方法,给出基于SpringBoot+Vue前后端分离的设计方案,利用SpringBoot+MyBatis+MySQL服务平台开发系统后端数据库接口,并对接口... 系统前端与后端数据库的交互接口设计是数据库系统设计的主要组成部分。研究基于SpringBoot的数据库接口设计方法,给出基于SpringBoot+Vue前后端分离的设计方案,利用SpringBoot+MyBatis+MySQL服务平台开发系统后端数据库接口,并对接口的性能进行优化。 展开更多
关键词 SpringBoot 数据接口 前后端分离 性能优化
下载PDF
航天器地面伴飞系统遥测遥控数据接口设计与实现
17
作者 史世杰 陈立平 +1 位作者 蒋兴沛 吴义忠 《空间控制技术与应用》 CSCD 北大核心 2023年第2期76-82,共7页
航天器地面伴飞系统由遥测遥控数据接口和高精度的数字仿真模型组成.在伴飞系统工作过程中,通过数据接口实时接受在轨航天器遥测遥控数据,并注入航天器数字模型进行同步仿真,进而实现在轨航天器的地面孪生系统.对航天器地面伴飞系统中... 航天器地面伴飞系统由遥测遥控数据接口和高精度的数字仿真模型组成.在伴飞系统工作过程中,通过数据接口实时接受在轨航天器遥测遥控数据,并注入航天器数字模型进行同步仿真,进而实现在轨航天器的地面孪生系统.对航天器地面伴飞系统中遥测遥控数据接口的设计与实现问题展开研究.对地面伴飞中涉及的遥测遥控数据标准化封装、数字模型与遥测遥控数据双向映射技术进行了介绍.给出了遥测遥控数据接口的设计与实现过程,对其中的数据对接模块、数据驱动与状态同步模块进行了详细介绍.通过实际工程案例对所设计接口进行了验证.结果表明遥测遥控数据接口工作正常,为地面伴飞系统提供了有效的数据支撑. 展开更多
关键词 数字伴飞 遥测遥控数据 数据接口 数字孪生 MODELICA
下载PDF
面向Link16的QualNet与虚拟机信息交互接口设计与实现
18
作者 杨昊伟 龚鹏 +4 位作者 张继豪 王卫东 唐飞喜 周子骄 张广为 《兵工学报》 EI CAS CSCD 北大核心 2024年第4期1186-1195,共10页
Link16是美国国防部采用的高速视距战术数据链,广泛应用于美国海军、联合部队和北约部队,针对其开展测试评估具有重要军事意义。通过硬件在环技术将Link16实物设备接入到仿真测试中,可有效提高测试结果的真实性和准确性。然而当测试场... Link16是美国国防部采用的高速视距战术数据链,广泛应用于美国海军、联合部队和北约部队,针对其开展测试评估具有重要军事意义。通过硬件在环技术将Link16实物设备接入到仿真测试中,可有效提高测试结果的真实性和准确性。然而当测试场景需要进行实物设备组网测试时,仍会带来成本问题。为此,提出一种面向QualNet MAC层的Link16专属模型以及与之匹配的虚拟机信息交互接口。该模型可高逼真模拟Link16通信行为,实现Link16节点竞争接入、时隙划分、NPG分组等功能。并基于数据分布式服务实现了虚拟机交互接口,将运行在虚拟机的Link16设备模型接入QualNet测试场景。实验测试结果表明,所设计模型具有较高真实性和准确性且接口引入延时不超过1 ms,为大规模数据链网络测试提供一种新方法。 展开更多
关键词 交互接口 LINK16数据 虚拟机 QualNet MAC层 网络仿真
下载PDF
数字交通数据云平台的统一接口方案探析
19
作者 唐汉琦 周培龙 +2 位作者 何铁强 徐磊 王皓 《中国交通信息化》 2023年第12期128-128,129-131,共4页
本文从天津市数字交通系统入手,调研了政务数据云平台目前存在的代表性问题,并针对业务需求提出了以微服务为形式的数字交通数据云平台统一接口方案,从方案选型、运行流程、接口规范、监听报警机制4个方面对方案进行了设计,阐述了方案... 本文从天津市数字交通系统入手,调研了政务数据云平台目前存在的代表性问题,并针对业务需求提出了以微服务为形式的数字交通数据云平台统一接口方案,从方案选型、运行流程、接口规范、监听报警机制4个方面对方案进行了设计,阐述了方案的优势,可以较小成本有效提高数据云平台的可用性、安全性和稳定性。 展开更多
关键词 数据云平台 微服务 统一接口方案 数字交通 智能交通系统
下载PDF
动车段(所)控制集中系统关键接口数据自动测试平台研究 被引量:1
20
作者 付紫彪 《铁道通信信号》 2023年第12期39-44,共6页
为实现关键接口数据自动测试,减少对仿真环境的依赖,对动车段(所)控制集中系统(CCS)中站场显示、车次窗、控制命令信息等所涉及的接口数据开展自动测试平台研究。基于既有接口数据和信息交互的特点,提出通过仿真外部系统自动发送、比对... 为实现关键接口数据自动测试,减少对仿真环境的依赖,对动车段(所)控制集中系统(CCS)中站场显示、车次窗、控制命令信息等所涉及的接口数据开展自动测试平台研究。基于既有接口数据和信息交互的特点,提出通过仿真外部系统自动发送、比对内外部信息中接口数据的一致性来进行CCS接口配置准确性判断。重点阐述该测试平台的测试逻辑和测试流程,可反馈接口配置问题,并定位到具体错误配置项;通过计算机代替人工完成大量机械性、重复性的数据遍历测试,减少因接口数据配置错误导致一系列不必要的重复流程,有效提高测试效率;辅以人工复核和复测,保障现场作业的安全、可靠。 展开更多
关键词 动车段(所)控制集中系统 接口数据 自动化测试 接口仿真 数据交互
下载PDF
上一页 1 2 250 下一页 到第
使用帮助 返回顶部