期刊文献+
共找到15篇文章
< 1 >
每页显示 20 50 100
Low overhead design-for-testability for scan-based delay fault testing 被引量:3
1
作者 Yang Decai Chen Guangju Xie Yongle 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2007年第1期40-44,共5页
An efficient design-for-testability (DFT) technique is proposed to achieve low overhead for scan-based delay fault testing. Existing techniques for delay test such as skewed-load or broadside make the test generatio... An efficient design-for-testability (DFT) technique is proposed to achieve low overhead for scan-based delay fault testing. Existing techniques for delay test such as skewed-load or broadside make the test generation process complex and produce lower coverage for scan-based designs as compared with non-scan designs, whereas techniques such as enhanced-scan test can make the test easy but need an extra holding latch to add substantial hardware overhead. A new tri-state holding logic is presented to replace the common holding latch in enhanced-scan test to get a substantial low hardware overhead. This scheme can achieve low delay overhead by avoiding the holding latch on the critical timing scan path. What's more, this method can also keep the state and signal activity in the combinational circuit from the scan during data scan-in operation to reduce the power dissipation. Experiment results on a set of ISCAS89 benchmarks show the efficiency of the proposed scheme. 展开更多
关键词 Delay fault testing design for testability Enhanced scan
下载PDF
Design for Testability Features of Godson-3 Multicore Microprocessor 被引量:2
2
作者 齐子初 刘慧 +1 位作者 李向库 胡伟武 《Journal of Computer Science & Technology》 SCIE EI CSCD 2011年第2期302-313,共12页
This paper describes the design for testability (DFT) challenges and techniques of Godson-3 microprocessor, which is a scalable multicore processor based on the scalable mesh of crossbar (SMOC) on-chip network and... This paper describes the design for testability (DFT) challenges and techniques of Godson-3 microprocessor, which is a scalable multicore processor based on the scalable mesh of crossbar (SMOC) on-chip network and targets high-end applications. Advanced techniques are adopted to make the DFT design scalable and achieve low-power and low-cost test with limited IO resources. To achieve a scalable and flexible test access, a highly elaborate test access mechanism (TAM) is implemented to support multiple test instructions and test modes. Taking advantage of multiple identical cores embedding in the processor, scan partition and on-chip comparisons are employed to reduce test power and test time. Test compression technique is also utilized to decrease test time. To further reduce test power, clock controlling logics are designed with ability to turn off clocks of non-testing partitions. In addition, scan collars of CACHEs are designed to perform functional test with low-speed ATE for speed-binning purposes, which poses low complexity and has good correlation results. 展开更多
关键词 DFT design for testability TAM (test access mechanism) multicore processor low power test
原文传递
Study of testability measurement method for equipment based on Bayesian network model 被引量:6
3
作者 Lian Guangyao Huang Kaoli Chen Jianhui Wei Zhonglin 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2009年第5期1017-1023,共7页
To analyze and evaluate the testability design of equipment, a testability analysis method based on Bayesian network inference model is proposed in the paper. The model can adequately apply testability information and... To analyze and evaluate the testability design of equipment, a testability analysis method based on Bayesian network inference model is proposed in the paper. The model can adequately apply testability information and many uncertainty information of design and maintenance process, so it can analyze testability by and large from Bayesian inference. The detailed procedure to analyze and evaluate testability for equipments by Bayesian network is given in the paper. Its modeling process is simple, its formulation is visual, and the analysis results are more reliable than others. Examples prove that the analysis method based on Bayesian network inference can be applied to testability analysis and evaluation for complex equipments. 展开更多
关键词 design for testability testability analysis and evaluation uncertainty information Bayesian network
下载PDF
A DFT Method for Single-Control Testability of RTL Data Paths for BIST
4
作者 Toshimitsu Masuzawa Minoru lzutsu +1 位作者 Hiroki Wada Hideo Fujiwara 《湖南大学学报(自然科学版)》 EI CAS CSCD 2000年第S2期52-60,共9页
This paper presents a new BIST method for RTL data paths based on single-control testability, a new concept of testability. The BIST method adopts hierarchical test. Test pattern generators are placed only on primary ... This paper presents a new BIST method for RTL data paths based on single-control testability, a new concept of testability. The BIST method adopts hierarchical test. Test pattern generators are placed only on primary inputs and test patterns are propagated to and fed into each module. Test responses are similarly propagated to response analyzers placed only on primary outputs. For the propagation of test patterns and test responses paths existing in the data path are utilized. The DFT method for the single-control testability is also proposed. The advantages of the proposed method are high fault coverage (for single Stuck-at faults), low hardware overhead and capability of at-speed test. Moreover, test patterns generated by test pattern generators can be fed into each module at consecutive system clocks, and thus, the BIST can also detect some faults of other fault models (e.g., transition faults and delay faults) that require consecutive application of test patterns at speed of system clock. 展开更多
关键词 built-in self-test design for testability RTL data path hierarchical test
下载PDF
Testable Design and BIST Techniques for Systolic Motion Estimators in Transform Domain
5
作者 Shyue-Kung Lu Wei-Yuan Liu 《Journal of Electronic Science and Technology of China》 2009年第4期291-296,共6页
Testable design techniques for systolic motion estimators based on M-testability conditions are proposed in this paper. The whole motion estimator can be viewed as a two-dimensional iterative logic array (ILA) of pr... Testable design techniques for systolic motion estimators based on M-testability conditions are proposed in this paper. The whole motion estimator can be viewed as a two-dimensional iterative logic array (ILA) of processing elements (PEs) and multiplying elements (MULs). The functions of each PE and MUL are modified to be bijective to meet the M-testable conditions. The number of test patterns is 2^w, where w denotes the word length of a PE. The proposed testable design techniques are also suitable for built-in self-test implementation. According to experimental results, our approaches can achieve 99.27 % fault coverage. The area overhead is about 9 %. To verify our approaches, an experimental chip is also implemented. 展开更多
关键词 Built-in self-test design for testability fault coverage motion estimator.
下载PDF
Optimization method for diagnostic sequence based on improved particle swarm optimization algorithm 被引量:7
6
作者 Lian Guangyao Huang Kaoli Chen Jianhui Gao Fengqi 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2009年第4期899-905,共7页
To realize the requirement of diagnostic sequence optimization in the process of design for testability, the authors put forward an optimization method based on quantum-behaved particle swarm optimization (QPSO) alg... To realize the requirement of diagnostic sequence optimization in the process of design for testability, the authors put forward an optimization method based on quantum-behaved particle swarm optimization (QPSO) algorithm. By a precedence ordering coding, the diagnostic sequence optimization can be translated into a precedence ordering problem in the multidimensional space of swarm. It can get the optimizing order quickly by using the powerful and quick search capability of QPSO algorithm, and the order is the diagnostic sequence for the system. The realization of the method is simpler than other methods, and the results are more excellent than others, and it has been applied in the engineering practice. 展开更多
关键词 diagnostic sequence optimization design for testability intelligent optimization QPSO algorithm
下载PDF
Ethernet Controller SoC Design and Its Low-Power DFT Considerations 被引量:1
7
作者 ZHENG Zhaoxia ZOU Xuecheng YU Guoyi 《Wuhan University Journal of Natural Sciences》 CAS 2008年第1期75-80,共6页
In this paper, an Ethernet controller SoC solution and its low power design for testability (DFT) for information appliances are presented. On a single chip, an enhanced one-cycle 8-bit micro controller unit (MCU)... In this paper, an Ethernet controller SoC solution and its low power design for testability (DFT) for information appliances are presented. On a single chip, an enhanced one-cycle 8-bit micro controller unit (MCU), media access control (MAC) circuit and embedded memories such as static random access memory (SRAM), read only memory (ROM) and flash are all integrated together. In order to achieve high fault coverage, at the same time with low test power, different DFT techniques are adopted for different circuits: the scan circuit that reduces switching activity is implemented for digital logic circuits; BIST-based method is employed for the on-chip SRAM and ROM. According to the fault-modeling of embedded flash, we resort to a March-like method for flash built in self test (BIST). By all means above, the result shows that the fault coverage may reach 97%, and the SoC chip is implemented successfully by using 0.25 μm two-poly four-metal mixed signal complementary metal oxide semiconductor (CMOS) technology, the die area is 4.8×4.6 mm^2. Test results show that the maximum throughput of Ethemet packets may reach 7Mb·s^1. 展开更多
关键词 linear feedback shift registers (LFSR) design for testability(DFT) built in selftest(BIST) circuit under test (CUT)
下载PDF
Area-time associated test cost model for SoC and lower bound of test time
8
作者 张金艺 翁寒一 +1 位作者 黄徐辉 蔡万林 《Journal of Shanghai University(English Edition)》 CAS 2011年第1期43-48,共6页
A novel test access mechanism (TAM) architecture with multi test-channel (TC) based on IEEE Standard 1500 is proposed instead of the traditional sub-TAM structure. The cost model of an area-time associated test an... A novel test access mechanism (TAM) architecture with multi test-channel (TC) based on IEEE Standard 1500 is proposed instead of the traditional sub-TAM structure. The cost model of an area-time associated test and the corresponding lower bound of system-on-chip (SoC) test time are established based on this TAM architecture. The model provides a more reliable method to control the SoC scheduling and reduces the complexity in related algorithm research. The result based on the area time associated test cost model has been validated using the ITC02 test benchmark. 展开更多
关键词 system-on-chip design for testability (SoC DriP) test cost test time lower bound
下载PDF
GLOBAL: A Design for Random Testability Algorithm
9
作者 向东 魏道政 《Journal of Computer Science & Technology》 SCIE EI CSCD 1994年第2期182-192,共11页
A global design for testability algorithm is offered in this paper. First, a test point candidate set is obtained to simplify the test point placemellt problem; the principle of selective tracing is offered to get a s... A global design for testability algorithm is offered in this paper. First, a test point candidate set is obtained to simplify the test point placemellt problem; the principle of selective tracing is offered to get a sequential test point placement solution, which is used as the initial solution of the global algorithm. Using this initial value, a branch & bound algorithm is then offered to obtain a global design for testability solution. Finally,a new test length analyser is offered to evaluate the global design for testability. 展开更多
关键词 Test point testability test length design for testability
原文传递
A novel approach of testability modeling and analysis for PHM systems based on failure evolution mechanism 被引量:14
10
作者 Tan Xiaodong Qiu Jing +3 位作者 Liu Guanjun Lv Kehong Yang Shuming Wang Chao 《Chinese Journal of Aeronautics》 SCIE EI CAS CSCD 2013年第3期766-776,共11页
Prognostics and health management (PHM) significantly improves system availability and reliability, and reduces the cost of system operations. Design for testability (DFT) developed concurrently with system design... Prognostics and health management (PHM) significantly improves system availability and reliability, and reduces the cost of system operations. Design for testability (DFT) developed concurrently with system design is an important way to improve PHM capability. Testability modeling and analysis are the foundation of DFT. This paper proposes a novel approach of testability modeling and analysis based on failure evolution mechanisms. At the component level, the fault progression-related information of each unit under test (UUT) in a system is obtained by means of failure modes, evolution mechanisms, effects and criticality analysis (FMEMECA), and then the failure-symptom dependency can be generated. At the system level, the dynamic attributes of UUTs are assigned by using the bond graph methodology, and then the symptom-test dependency can be obtained by means of the functional flow method. Based on the failure-symptom and symptom-test dependencies, testability analysis for PHM systems can be realized. A shunt motor is used to verify the application of the approach proposed in this paper. Experimental results show that this approach is able to be applied to testability modeling and analysis for PHM systems very well, and the analysis results can provide a guide for engineers to design for testability in order to improve PHM performance. 展开更多
关键词 design for testability Failure evolution mechanism Failure-symptom dependency Prognostics and health management Symptom-test dependency testability modeling and analysis Unit under test
原文传递
Test Vector Optimization Using Pocofan-Poframe Partitionin
11
作者 P.PattunnaRajam Reeba korah G.Maria Kalavathy 《Computers, Materials & Continua》 SCIE EI 2018年第3期251-268,共18页
This paper presents an automated POCOFAN-POFRAME algorithm thatpartitions large combinational digital VLSI circuits for pseudo exhaustive testing. In thispaper, a simulation framework and partitioning technique are pr... This paper presents an automated POCOFAN-POFRAME algorithm thatpartitions large combinational digital VLSI circuits for pseudo exhaustive testing. In thispaper, a simulation framework and partitioning technique are presented to guide VLSIcircuits to work under with fewer test vectors in order to reduce testing time and todevelop VLSI circuit designs. This framework utilizes two methods of partitioningPrimary Output Cone Fanout Partitioning (POCOFAN) and POFRAME partitioning todetermine number of test vectors in the circuit. The key role of partitioning is to identifyreconvergent fanout branch pairs and the optimal value of primary input node N andfanout F partitioning using I-PIFAN algorithm. The number of reconvergent fanout andits locations are critical for testing of VLSI circuits and design for testability. Hence, theirselection is crucial in order to optimize system performance and reliability. In the presentwork, the design constraints of the partitioned circuit considered for optimizationincludes critical path delay and test time. POCOFAN-POFRAME algorithm uses theparameters with optimal values of circuits maximum primary input cone size (N) andminimum fan-out value (F) to determine the number of test vectors, number of partitionsand its locations. The ISCAS’85 benchmark circuits have been successfully partitioned,the test results of C499 shows 45% reduction in the test vectors and the experimentalresults are compared with other partitioning methods, our algorithm makes fewer testvectors. 展开更多
关键词 Pseudo exhaustive testing POCOFAN (Primary Output Cone FanoutPartitioning) POFRAME partitioning combinational digital VLSI circuit testing criticalpath delay testing time design for testability
下载PDF
Optimal Test Points Selection Based on Multi-Objective Genetic Algorithm
12
作者 Yong Zhang Xi-Xiang Chen Guan-Jun Liu Jing Qiu Shu-Ming Yang 《Journal of Electronic Science and Technology of China》 2009年第4期317-321,共5页
A new approach to select anoptimal set of test points is proposed. The described method uses fault-wise table and multi-objective genetic algorithm to find the optimal set of test points. First, the fault-wise table i... A new approach to select anoptimal set of test points is proposed. The described method uses fault-wise table and multi-objective genetic algorithm to find the optimal set of test points. First, the fault-wise table is constructed whose entries are measurements associated with faults and test points. The selection of optimal test points is transformed to the selection of the columns that isolate the rows of the table. Then, four objectives are described according to practical test requirements. The multi-objective genetic algorithm is explained. Finally, the presented approach is illustrated by a practical example. The results indicate that the proposed method can efficiently and accurately find the optimal set of test points and is practical for large scale systems. 展开更多
关键词 design for testability multi-objective genetic algorithm system testing test points selection.
下载PDF
A D-optimal Multi-position Calibration Method for Dynamically Tuned Gyroscopes 被引量:5
13
作者 FU Li ZHU Yongquan WANG Lingling WANG Xinling 《Chinese Journal of Aeronautics》 SCIE EI CAS CSCD 2011年第2期210-218,共9页
This paper presents a novel experimental design to greatly improve the calibration accuracy of the acceleration-insensitive bias and the acceleration-sensitive bias of the dynamically tuned gyroscopes(DTGs).In order... This paper presents a novel experimental design to greatly improve the calibration accuracy of the acceleration-insensitive bias and the acceleration-sensitive bias of the dynamically tuned gyroscopes(DTGs).In order to reduce experimental cost,the D-optimal criteria with constraints are constructed.The turntable positions and the number of test points are chosen to build D-optimal experimental designs.The D-optimal experimental designs are tested by multi-position calibration experiment for tactical-grade DTGs.Test results show that,with the same cost,the fit uncertainty is reduced by about 50% by using the D-optimal 8-position experimental procedure,compared to using a defacto standard experimental procedure in ANSI/IEEE Std 813-1988.Furthermore,the new experimental procedure almost achieves optimal accuracy with only 12-position which is half the cost of the widely adopted 24-position experimental procedure for achieving optimal accuracy. 展开更多
关键词 optimization methods CALIBRATION GYROSCOPES error compensation design for testability
原文传递
Sensor Optimization Selection Model Based on Testability Constraint 被引量:4
14
作者 YANG Shuming QIU Jing LIU Guanjun 《Chinese Journal of Aeronautics》 SCIE EI CSCD 2012年第2期262-268,共7页
关键词 prognostics and health management design for testability fault predictable rate sensor selection and optimization generic algorithm
原文传递
On GID-Testable Two-Dimensional Iterative Arrays
15
作者 黄维康 F.Lombard 《Journal of Computer Science & Technology》 SCIE EI CSCD 1994年第1期27-36,共10页
A new approach is presented for easily testable two-dimensional iterative arrays.It is an improvment on GI-testability (Group Identical testability) and is referred to as GID-testability (Group Identical and Different... A new approach is presented for easily testable two-dimensional iterative arrays.It is an improvment on GI-testability (Group Identical testability) and is referred to as GID-testability (Group Identical and Different testability). In a GID-testable twodimensional array, the primary x and y outputs are organized into groups and every group has more than one output. This is similar to the GI-testable arrays. However,GID-testability not only ensures that identical test responses can be obtained from every output in the same group when an array is fault free, but also ensures that at least one output has different test responses (from the other outputs in a group) when a cell in the array is faulty Therefore, all faults can be detected under the assumption of a single faulty cell model. It is proved that an arbitrary two-dimensional iterative array is GID-testable if seven x-states and seven y-states are added to the original flow table of the basic cell of the array.GID-testability simplifies the response verification of built-in-self testing in a way similar to PL- and GI-testability[6-9]. Therefore, it is suitable for BIST design. 展开更多
关键词 C-testability design for testability testing fault detection arrays self-testing
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部