期刊文献+
共找到60篇文章
< 1 2 3 >
每页显示 20 50 100
基于VHDL和FPGA的自适应数字频率计的研究与设计 被引量:1
1
作者 孙虹 何宏 +3 位作者 张大建 孟晖 张艳 宁书岩 《天津理工大学学报》 2008年第1期24-27,共4页
基于测频原理及FPGA的设计思想,论述了利用VHDL硬件描述语言设计自适应数字频率计的新方法.此设计自顶而下,采用模块化单元构建系统.通过软件智能设计,突破了以往改变闸门时间的方法,使自动换档的实现更加简单可靠.在具体实现上,使用开... 基于测频原理及FPGA的设计思想,论述了利用VHDL硬件描述语言设计自适应数字频率计的新方法.此设计自顶而下,采用模块化单元构建系统.通过软件智能设计,突破了以往改变闸门时间的方法,使自动换档的实现更加简单可靠.在具体实现上,使用开发工具ISE6.1进行软件开发,Modelsim进行仿真,并将程序下载到作为自适应数字频率计核心电路的FPGA芯片中.与传统方法比,具有外围电路简单,设计周期短,易于修改等优点. 展开更多
关键词 vhdl fpga 自适应 数字频率计 电路模块
下载PDF
基于FPGA的数字频率计VHDL软件实现方法 被引量:5
2
作者 曾光 冯锐 《软件导刊》 2009年第2期28-29,共2页
运用VHDL在FPGA/CPLD器件上实现一种数字频率计测频系统,分析了数字频率计软件构成结构,并对其中的测频控制信号发生器电路进行了VHDL软件编程实现。
关键词 fpga vhdl 数字频率计 测频系统
下载PDF
基于FPGA和LabWindows的音频DAC测试方案开发与实现
3
作者 王兵 王美娟 汪芳 《电声技术》 2023年第4期150-153,共4页
电子设备集成度的提高对于音频集成电路生产和测试等环节的要求越来越高,尤其是音频数模转换器(Digital to Analog Converter,DAC),本质上为数模混合信号电路,采用数模混合信号自动化测试设备(Automatic Test Equipment,ATE)价格昂贵,... 电子设备集成度的提高对于音频集成电路生产和测试等环节的要求越来越高,尤其是音频数模转换器(Digital to Analog Converter,DAC),本质上为数模混合信号电路,采用数模混合信号自动化测试设备(Automatic Test Equipment,ATE)价格昂贵,而采用传统自动测试仪测试覆盖率低、测试时间长,导致这类电路的测试成本较高且测试产能不足。介绍了一种基于现场可编程门阵列(Field Programmable Gate Array,FPGA)和LabWindows的音频DAC电路测试方案,硬件上用FPGA实现音频测试所需的直接数字频率合成(Direct Digital Frequency Synthesizers,DDFS)模块,软件上通过运用LabWindows自带的采样、加窗、快速傅里叶变换(Fast Fourier Transform,FFT)等数字信号处理函数,快速准确地测试各项模拟参数,并在用户界面(User Interface,UI)显示测试值和后台保存测试数据。 展开更多
关键词 音频数模转换器(DAC)测试 LABWINDOWS 现场可编程门阵列(fpga) 直接数字频率合成(DDFS) 自动化测试设备(ATE) 数字信号处理
下载PDF
一种FPGA控制DDS实现4FSK&FM调制载波的国产化设计
4
作者 王东虎 《电声技术》 2023年第5期118-121,共4页
文章主要介绍一种现场可编程逻辑门阵列(Field Programmable Gate Array,FPGA)控制数字频率合成器(Direct Digital Synthesizer,DDS)实现四进制移频键控(Quaternary Frequency Shift Keying,4FSK)&频率调制(Frequency Modulation,FM... 文章主要介绍一种现场可编程逻辑门阵列(Field Programmable Gate Array,FPGA)控制数字频率合成器(Direct Digital Synthesizer,DDS)实现四进制移频键控(Quaternary Frequency Shift Keying,4FSK)&频率调制(Frequency Modulation,FM)调制载波的设计方案,给出技术指标参数、硬件组成框图以及信号处理流程,对4FSK的调制信号和FM信号产生的实施方法进行探讨,并对电路框图中的关键器件进行国产化设计选型。 展开更多
关键词 现场可编程逻辑门阵列(fpga) 数字频率合成器(DDS) 四进制移频键控(4FSK)&频率调制(FM)调制载波 国产化设计
下载PDF
基于FPGA的直接数字频率合成器的设计 被引量:21
5
作者 董国伟 李秋明 +2 位作者 赵强 顾德英 汪晋宽 《仪器仪表学报》 EI CAS CSCD 北大核心 2006年第z1期877-879,共3页
本文介绍了直接数字频率合成器(DDS)的基本组成及设计原理,给出了基于FPGA的具体设计方案及编程实现方法。仿真结果表明,该设计简单合理,使用灵活方便,具有良好的性价比。
关键词 直接数字频率合成器(DDS)fpga 性价比
下载PDF
基于改进型RBF神经网络的直接数字频率合成器设计
6
作者 倪崧顺 张长春 +1 位作者 王静 张翼 《固体电子学研究与进展》 CAS 2024年第2期149-156,共8页
提出了一种基于改进型径向基函数(Radial basis function,RBF)神经网络的高性能直接数字频率合成器,相比于传统的直接数字频率合成器避免了相位截断误差并降低了资源消耗。为了进一步提高RBF神经网络的训练效率及稳定性,提出一种改进型... 提出了一种基于改进型径向基函数(Radial basis function,RBF)神经网络的高性能直接数字频率合成器,相比于传统的直接数字频率合成器避免了相位截断误差并降低了资源消耗。为了进一步提高RBF神经网络的训练效率及稳定性,提出一种改进型的RBF神经网络训练算法。该算法在粗调阶段,利用K-means++算法快速确定初始激活函数中心,使激活函数中心分布更加合理;在细调阶段则采用L-BFGS-B算法,对粗调阶段得到的最佳中心进行精细调整,进一步降低输出误差。通用FPGA平台的实验结果表明,基于改进型RBF神经网络的直接数字频率合成器当输出时钟频率为1.53 MHz时,无杂散动态范围为85.26 dB,相位噪声为-90.50 dBc/Hz@100 kHz,且无需占用额外ROM资源。 展开更多
关键词 直接数字频率合成器 RBF神经网络 相位截断误差 现场可编程门阵列
下载PDF
一种宽带Chirp-DDS及其FPGA实现 被引量:7
7
作者 金学哲 岂飞涛 +1 位作者 高清运 秦世才 《微电子学》 CAS CSCD 北大核心 2003年第4期365-368,共4页
 设计了一种宽带Chirp-DDS,并在AlteraFlex10KFPGA上予以实现。该结构包括32位流水线频率-相位累加器和ROM查找表。系统的时钟频率为100MHz,频率切换时间为0.68μs,建立时间为0.8μs,频率分辨率为0.02328Hz,输出信号的频率范围为DC到40...  设计了一种宽带Chirp-DDS,并在AlteraFlex10KFPGA上予以实现。该结构包括32位流水线频率-相位累加器和ROM查找表。系统的时钟频率为100MHz,频率切换时间为0.68μs,建立时间为0.8μs,频率分辨率为0.02328Hz,输出信号的频率范围为DC到40MHz。 展开更多
关键词 Chirp-DDS 线性调频 直接数字频率合成器 fpga 逻辑设计
下载PDF
基于FPGA的信号与系统实验箱信号源设计 被引量:6
8
作者 吴涛 徐春燕 彭宏 《实验室研究与探索》 CAS 北大核心 2009年第6期44-47,共4页
介绍了自主设计开发的信号与系统实验箱中信号源模块的软硬件设计。整个设计以FPGA为核心,Quaturs Ⅱ为开发平台,采用VHDL语言,利用直接数字频率合成技术实现。可以通过灵活的按键开关进行频率、波形选择,输出实验模块所需信号。经仿真... 介绍了自主设计开发的信号与系统实验箱中信号源模块的软硬件设计。整个设计以FPGA为核心,Quaturs Ⅱ为开发平台,采用VHDL语言,利用直接数字频率合成技术实现。可以通过灵活的按键开关进行频率、波形选择,输出实验模块所需信号。经仿真验证和实际运行结果表明,信号源模块满足设计要求,在实际教学中获得良好效果。 展开更多
关键词 现场可编程门陈列 信号源 直接数字频率合成 信号与系统实验 超高速集成电路硬件描述语言
下载PDF
基于FPGA的直接数字频率合成器设计与实现 被引量:7
9
作者 陈铭 薛敏彪 +1 位作者 胡永红 周平 《测控技术》 CSCD 2004年第9期1-2,共2页
介绍了直接数字频率合成器的基本组成及设计原理 ,并对各组成部分进行了理论分析 ,给出了基于FPGA的具体设计方案及实现方法。仿真结果表明 ,该设计简单合理 ,使用灵活方便 ,具有良好的性价比 ,可应用于各种数字接收系统。
关键词 直接数字频率合成器(DDS) fpga MODELSIM
下载PDF
基于FPGA的DDS多信号发生器的设计与实现 被引量:5
10
作者 杨大伟 杨秀芳 陈剑虹 《西安理工大学学报》 CAS 北大核心 2013年第4期439-443,共5页
在实际工业和科技等领域中经常需要高精度且频率方便可调的多信号源。研究设计了基于FPGA的直接数字频率合成(DDS)多信号发生器的基本组成和设计原理,给出了硬件描述语言VHDL编程实现方法,在Quartus II软件环境下对多信号发生器进行了仿... 在实际工业和科技等领域中经常需要高精度且频率方便可调的多信号源。研究设计了基于FPGA的直接数字频率合成(DDS)多信号发生器的基本组成和设计原理,给出了硬件描述语言VHDL编程实现方法,在Quartus II软件环境下对多信号发生器进行了仿真,用ALTERA公司的Cyclone IV硬件平台实现了程序的下载。实现了正弦波、锯齿波、方波、三角波等的频率可调、相位可调、幅值可调等功能,且准确度高,性价比良好。 展开更多
关键词 直接数字频率合成(DDS) 现场可编程门阵列(fpga) 多信号发生器 vhdl
下载PDF
一种高速直接数字频率合成器及其FPGA实现 被引量:6
11
作者 唐长文 闵昊 《微电子学》 CAS CSCD 北大核心 2001年第6期451-454,共4页
介绍了一种用于 QAM调制和解调的直接数字频率合成器 ,该电路同时输出 1 0位正弦和余弦两种波形 ,系统时钟频率为 5 0 MHz,信号的谐波小于 - 72 d B。输出信号的范围为 DC到 2 5MHz,信号频率步长为 0 .0 1 1 6Hz,相应的转换速度为 2 0 ... 介绍了一种用于 QAM调制和解调的直接数字频率合成器 ,该电路同时输出 1 0位正弦和余弦两种波形 ,系统时钟频率为 5 0 MHz,信号的谐波小于 - 72 d B。输出信号的范围为 DC到 2 5MHz,信号频率步长为 0 .0 1 1 6Hz,相应的转换速度为 2 0 ns,建立时间延迟为 4个时钟。直接数字合成器 ( DDFS)采用一种有效查找表的方式生成正弦函数 ,为了降低 ROM的大小 ,采用了 1 /8正弦波形函数压缩算法。直接数字频率合成器的数字部分由 Xilinx FPGA实现 。 展开更多
关键词 数字频率合成器 锁相环 现场可编程逻辑阵列 查找表 只读存储器
下载PDF
基于CORDIC算法的QDDS设计及其FPGA实现 被引量:3
12
作者 金学哲 金明吉 +1 位作者 岂飞涛 秦世才 《南开大学学报(自然科学版)》 CAS CSCD 北大核心 2005年第1期60-64,共5页
设计了一种基于CORDIC算法的正交输出直接数学频率合成器(QDDS),并在ALTERA FLEX10K 系列FPGA上予以实现.该结构包括流水线32位相位累加器和16位CORDIC旋转器.系统的时钟频率20M Hz,频率切换器时为一个时钟,建立时间为20个时钟,频率为0.... 设计了一种基于CORDIC算法的正交输出直接数学频率合成器(QDDS),并在ALTERA FLEX10K 系列FPGA上予以实现.该结构包括流水线32位相位累加器和16位CORDIC旋转器.系统的时钟频率20M Hz,频率切换器时为一个时钟,建立时间为20个时钟,频率为0.004 656 Hz,输出信号的频率为DC到8M Hz. 展开更多
关键词 正交输出直接数字频率合成器(QDDS) CORDIC算法 相位一幅度变换器 fpga
下载PDF
SPWM的FPGA实现方法 被引量:11
13
作者 杨旭东 张强 +1 位作者 韩雪晶 郭嘉 《微计算机信息》 北大核心 2006年第12Z期146-148,共3页
本文主要描述利用FPGA现场可编程门阵列器件作为控制核心,结合DDS数字频率合成技术直接形成SPWM脉宽调制波。首先将一个周期的正弦函数进行1024点的离散,并将离散后的数据按顺序存储到FPGA的RAM中。然后使用VHDL语言编程实现可逆计数器... 本文主要描述利用FPGA现场可编程门阵列器件作为控制核心,结合DDS数字频率合成技术直接形成SPWM脉宽调制波。首先将一个周期的正弦函数进行1024点的离散,并将离散后的数据按顺序存储到FPGA的RAM中。然后使用VHDL语言编程实现可逆计数器,利用可逆计数器完成三角函数的上升与下降,形成一个完整的三角函数,频率为正弦周期的N倍,并可调。其次将同一时刻的正弦函数值与三角函数制比较(即正弦调制),形成一路脉冲调制波。最后为防止同相桥臂功率器件的同时导通,采用按时关断、延时开通的单边不对称设置完成调制脉冲波的死区延时,形成最终的SPWM脉宽调制波,并用于电力电子逆变装置,使得系统设计简化,提高设备的可靠性。 展开更多
关键词 交直交变频VVVF 现场可编程门阵列fpga 直接数字频率合成DDS 正弦脉宽调制SPWM
下载PDF
基于FPGA的变频控制系统的实现 被引量:5
14
作者 冒建亮 叶桦 +1 位作者 张舒 《东南大学学报(自然科学版)》 EI CAS CSCD 北大核心 2012年第A01期25-30,共6页
针对采用DSP实现空间矢量脉宽调制算法存在的时序错乱、相位抖动的问题,提出了一种基于FPGA实现变频控制的新思路.结合空间矢量脉宽调制(SVPWM)技术,采用DDS算法代替传统的DSP,产生SVPWM的正弦电压调制信号,并对传统的SVPWM算法进行了... 针对采用DSP实现空间矢量脉宽调制算法存在的时序错乱、相位抖动的问题,提出了一种基于FPGA实现变频控制的新思路.结合空间矢量脉宽调制(SVPWM)技术,采用DDS算法代替传统的DSP,产生SVPWM的正弦电压调制信号,并对传统的SVPWM算法进行了优化设计.在利用五段式原理进行空间电压矢量合成时,对开关矢量时间进行分配与重构,减少了FP-GA逻辑单元的占用率.最终利用QuartusⅡ进行软件仿真分析,并在实际硬件电路中进行了实验验证.实验结果表明,提出的SVPWM信号发生算法实时性好、可靠性高,可实现精确的变频调速,满足一般变频控制系统的要求. 展开更多
关键词 fpga SVPWM DDS 变频
下载PDF
任意数值分频器的FPGA实现 被引量:2
15
作者 郜继红 荀延龙 卢旭盛 《电子设计工程》 2015年第22期122-124,共3页
本文介绍了一种基于FPGA的多数值分频器的设计,该分频器可以实现占空比及分频系数可调,其分频数值可以是整数、小数和分数。文章给出了使用Altera公司的Cyclone II系列EP2C5Q208C型FPGA芯片中实现后的仿真结果和测试结果,这些结果表明... 本文介绍了一种基于FPGA的多数值分频器的设计,该分频器可以实现占空比及分频系数可调,其分频数值可以是整数、小数和分数。文章给出了使用Altera公司的Cyclone II系列EP2C5Q208C型FPGA芯片中实现后的仿真结果和测试结果,这些结果表明设计的正确性和可行性。分频器采用VHDL语言编程实现,用户可以自行设置分频器功能,这种分频器设计具有很强的实用性和可移值性。 展开更多
关键词 fpga vhdl 任意数值 分频器 数字设计
下载PDF
基于FPGA的扩频通信系统的研究及仿真 被引量:1
16
作者 张福洪 孙宁波 戴绍港 《计算机系统应用》 2011年第5期25-29,59,共6页
扩频通信,被誉为是通信技术的一次重大突破,其用来传输信息的射频带宽远大于信息本身,极大的提高了抗干扰能力,减小了功耗,在现代通信中已经成为了关键的技术。给出了一种基于FPGA的QUARTUS 9.0环境中的数字通信模型的仿真,着重解决了... 扩频通信,被誉为是通信技术的一次重大突破,其用来传输信息的射频带宽远大于信息本身,极大的提高了抗干扰能力,减小了功耗,在现代通信中已经成为了关键的技术。给出了一种基于FPGA的QUARTUS 9.0环境中的数字通信模型的仿真,着重解决了扩频通信系统的调制器的设计实现,并且仿真验证了其正确性,该调制器具有通用性和实现的便捷性,大大缩短了研发的进程。 展开更多
关键词 扩频通信 IP核 CPSK 分频 vhdl fpga nco
下载PDF
一种FPGA正弦信号发生器的设计 被引量:2
17
作者 林章 杨盛国 王玉兰 《闽江学院学报》 2008年第2期48-51,共4页
介绍了基于编程逻辑器件FPGA和直接数字频率合成(DDS)技术构成的正弦波形发生器.其主要模块有频率控制、相位控制、数模转换及正弦波生成等.各模块均通过VHDL语言编程在FPGA上实现,经软件仿真和硬件测试验证达到了设计要求.
关键词 fpga 直接数字频率合成 vhdl 仿真验证
下载PDF
基于FPGA的数字频率计的设计和实现 被引量:7
18
作者 杨守良 《现代电子技术》 2005年第11期118-120,共3页
现场可编程门阵列的出现给现代电子设计带来了极大的方便和灵活性,使复杂的数字电子系统设计变为芯片级设计,同时还可以很方便地对设计进行在线修改。本文以设计一个四位显示的十进制数字频率计为例,介绍了在一片FPGA芯片上实现多位数... 现场可编程门阵列的出现给现代电子设计带来了极大的方便和灵活性,使复杂的数字电子系统设计变为芯片级设计,同时还可以很方便地对设计进行在线修改。本文以设计一个四位显示的十进制数字频率计为例,介绍了在一片FPGA芯片上实现多位数字频率计的设计方法和实现步骤,并且给出了仿真结果。在设计中,所有频段均采用直接测频法对信号频率进行测量,克服了逼近式换挡速度慢的缺点。所设计的电路通过硬件仿真,下载到目标器件上运行,能够满足实际测量频率的要求。 展开更多
关键词 数字频率计设计 vhdl 现场可编程门阵列(fpga) 直接测频法
下载PDF
基于DDS的2FSK调制器的VHDL设计与实现 被引量:2
19
作者 董秀洁 杨艳 《中原工学院学报》 CAS 2009年第5期69-72,共4页
针对传统的2FSK调制方式容易发生相位突变、频带利用率不高等缺点,提出了一种基于直接数字频率合成技术的2FSK调制方式,给出了调制器的总体及各功能模块的设计方案,并在Altera Cyclone实验板上实现.实验结果表明,该调制器具有输出相位... 针对传统的2FSK调制方式容易发生相位突变、频带利用率不高等缺点,提出了一种基于直接数字频率合成技术的2FSK调制方式,给出了调制器的总体及各功能模块的设计方案,并在Altera Cyclone实验板上实现.实验结果表明,该调制器具有输出相位连续、抗干扰能力强等优点. 展开更多
关键词 2FSK 直接数字频率合成 vhdl
下载PDF
基于FPGA的DDS波形发生器的设计与实现 被引量:9
20
作者 宋寅 《合肥学院学报(自然科学版)》 2007年第2期63-66,83,共5页
介绍了DDS的电路结构及工作原理,并对各组成部分进行了理论分析,重点介绍了电路设计方法,并利用硬件描述语言VHDL实现,最后利用FLEX器件实现了DDS电路,给出了FPGA设计的仿真和实验.
关键词 直接数字频率合成(DDS) 现场可编程门阵列(fpga) vhdl 仿真
下载PDF
上一页 1 2 3 下一页 到第
使用帮助 返回顶部