期刊文献+
共找到428篇文章
< 1 2 22 >
每页显示 20 50 100
北桥与DUAL PORT RAM间接口控制的FPGA设计
1
作者 李辉 张伟 黄俊 《计算机工程》 EI CAS CSCD 北大核心 2005年第19期223-224,共2页
介绍了一种MIPS体系结构下,北桥在SDRAM的操作规范下控制dual port RAM的新型系统结构设计方案,结合实际实现过程,较清楚地突出了设计的创新点,并介绍了测试结果,结论是该设计完全适用于MIPS系统下的数据交换系统,达到了国外同类产品的... 介绍了一种MIPS体系结构下,北桥在SDRAM的操作规范下控制dual port RAM的新型系统结构设计方案,结合实际实现过程,较清楚地突出了设计的创新点,并介绍了测试结果,结论是该设计完全适用于MIPS系统下的数据交换系统,达到了国外同类产品的速度性能要求。 展开更多
关键词 北桥 dual port ram FPGA
下载PDF
基于RAM-MS320C6x的高速套牌车检测识别系统设计
2
作者 刘凌霞 马晓珺 宋强 《现代电子技术》 北大核心 2016年第10期65-68,72,共5页
针对高速路上套牌车的检测准确性不高的问题,设计并实现了一种基于RAM-MS320C6x的视觉高速路上套牌车检测识别系统。系统采用以高性能、高集成度、低功耗系列的FPGA为核心的图像采集模块对高速套牌车图像进行采集及预处理操作,将经FPGA... 针对高速路上套牌车的检测准确性不高的问题,设计并实现了一种基于RAM-MS320C6x的视觉高速路上套牌车检测识别系统。系统采用以高性能、高集成度、低功耗系列的FPGA为核心的图像采集模块对高速套牌车图像进行采集及预处理操作,将经FPGA预处理后的高速套牌车图像数据传输至双口RAM中,数字信号处理器TMS320C6x接收到从双口RAM传递的信息后对高速套牌车图像数据进行数据库比对等处理并进行智能识别,实现高速套牌车的快速准确检测和识别。软件设计过程中,对基于RAM-MS320C6x的高速套牌车检测识别系统进行了详细分析,并给出了高速套牌车检测识别的程序代码实现,仿真实验结果表明所设计系统具有很强的准确性和实用性。 展开更多
关键词 双口ram 高速套牌车 图像识别 图像数据传输
下载PDF
基于双口RAM的Profibus-DP从节点设计
3
作者 陈在平 卢幸吉 《化工自动化及仪表》 CAS 2013年第9期1155-1157,共3页
针对Profibus-DP现场总线中用于连接主站与工业设备的从站设备的关键技术,提出一种基于双口RAM的Profibus-DP从节点设计方案。给出了从节点的硬件和软件总体设计方案,从节点核心模块的实现方案以及基于双口RAM的用户接口设计。
关键词 PROFIBUS-DP 从节点 双口ram 硬件 软件 接口
下载PDF
双口RAM在CAN与PROFIBUS-DP网关中的应用研究 被引量:1
4
作者 房立镇 程广河 +1 位作者 王茂励 吕国华 《信息技术》 2010年第8期124-126,共3页
介绍了双口RAM IDT7130的内部结构和工作原理,提出了一种以ARM7为平台,利用两个LPC2138微控制器和双口RAM设计CAN与PROFIBUS-DP网关的方案,介绍了利用双口RAM实现双CPU之间的通信的实用、高效的方法。这种设计方案对其他现场总线之间的... 介绍了双口RAM IDT7130的内部结构和工作原理,提出了一种以ARM7为平台,利用两个LPC2138微控制器和双口RAM设计CAN与PROFIBUS-DP网关的方案,介绍了利用双口RAM实现双CPU之间的通信的实用、高效的方法。这种设计方案对其他现场总线之间的网关设计具有重要的借鉴意义。 展开更多
关键词 双口ram PROFIBUS-DP CAN 总线
下载PDF
基于CPLD的双口RAM在WDM-EPON接口盘中的实现与应用
5
作者 易熳 曹桦 +1 位作者 王小春 王素椅 《信息通信》 2010年第5期45-47,共3页
介绍了在WDM-EPON接口盘中实现双CPU之间数据通信的双口RAM设计。采用复杂可编程逻辑器件(CPLD),用VerilogHDL语言实现双口RAM的设计,并在设计过程中采用数字逻辑方法解决了2个CPU对双口RAM同时进行写操作时产生冲突的问题,已在该接口... 介绍了在WDM-EPON接口盘中实现双CPU之间数据通信的双口RAM设计。采用复杂可编程逻辑器件(CPLD),用VerilogHDL语言实现双口RAM的设计,并在设计过程中采用数字逻辑方法解决了2个CPU对双口RAM同时进行写操作时产生冲突的问题,已在该接口盘上成功应用。 展开更多
关键词 光纤通信技术 复杂可编程逻辑器件 双口ram WDM-EPON接口盘
下载PDF
基于双口RAM的高速大容量气溶胶空气动力学粒径信息提取 被引量:10
6
作者 朱传雨 刘建国 +2 位作者 陆亦怀 黄书华 桂华侨 《仪器仪表学报》 EI CAS CSCD 北大核心 2010年第10期2168-2173,共6页
在气溶胶空气动力学粒谱仪系统研制中,为了满足高速、实时采集和存储大量信息的需要,提出了利用双口RAM内存芯片CY7C028作为数据共享存储器,给出双口RAM与CPLD和单片机之间的硬件接口电路和软件流程,实现CPLD和单片机之间的高速大容量通... 在气溶胶空气动力学粒谱仪系统研制中,为了满足高速、实时采集和存储大量信息的需要,提出了利用双口RAM内存芯片CY7C028作为数据共享存储器,给出双口RAM与CPLD和单片机之间的硬件接口电路和软件流程,实现CPLD和单片机之间的高速大容量通信,有效地提取了气溶胶粒子空气动力学粒径信息。该存储器容量高达32768道,每道可计数65535个粒子,操作速度在ns量级。该存储器已成功应用于空气动力学粒谱仪,完全满足仪器连续、实时、在线监测时对存储速度和容量的要求,工作稳定可靠。 展开更多
关键词 气溶胶 空气动力学粒径 双口ram CY7C028
下载PDF
基于FPGA的四口RAM设计与实现 被引量:9
7
作者 吕波 张涌 +1 位作者 黄侃 石永彪 《仪表技术与传感器》 CSCD 北大核心 2017年第1期34-37,共4页
为了满足并行系统能够高效进行大量数据传输和交换的实时性要求,设计并实现了基于FPGA的四口RAM。四口RAM由1个双口RAM模块、4个缓存模块和2个控制模块构成。双口RAM作为四口RAM的存储实体。缓存模块是外部多处理器与双口RAM之间接口数... 为了满足并行系统能够高效进行大量数据传输和交换的实时性要求,设计并实现了基于FPGA的四口RAM。四口RAM由1个双口RAM模块、4个缓存模块和2个控制模块构成。双口RAM作为四口RAM的存储实体。缓存模块是外部多处理器与双口RAM之间接口数据缓冲,由3个FIFO构成,分别缓存外部多处理器的读写命令、地址和数据。控制模块由有限状态机实现,通过分时读取4个缓存模块,完成对双口RAM的读写操作,实现四口RAM功能。软件测试和具体项目的应用表明,系统功能正常,此方法具有可行性和有效性。 展开更多
关键词 FPGA 四口ram FIFO 双口ram 有限状态机 并行系统
下载PDF
利用双口RAM实现主机与远方多个子机的实时数据交换问题研究 被引量:4
8
作者 苗世洪 王少荣 +1 位作者 刘沛 程时杰 《计算机工程与应用》 CSCD 北大核心 1999年第11期120-121,共2页
文章着重讨论在GPS同步脉冲作用下如何实现电网各子站与调度中心的实时数据交换,重点介绍了双口RAMIDT7134的特点、结构功能和它在电网监测系统中的实际使用情况。
关键词 GPS ram 实时数据交换 电网 监测系统 计算机
下载PDF
基于双口RAM的双CPU控制系统设计 被引量:23
9
作者 张有为 汪永红 +1 位作者 刘铁铭 罗军宏 《微计算机信息》 北大核心 2005年第07Z期84-85,共2页
本文从对信息的高速处理的要求出发,结合较为流行的双口RAM,介绍了设计双CPU控制系统的方法及要点,并给出了关键部分的典型实现。
关键词 双CPU 双口ram 共享 访问
下载PDF
基于FPGA的双口RAM实现及应用 被引量:35
10
作者 秦鸿刚 刘京科 吴迪 《电子设计工程》 2010年第2期72-74,共3页
为了在高速采集时不丢失数据,在数据采集系统和CPU之间设置一个数据暂存区。介绍双口RAM的存储原理及其在数字系统中的应用。采用FPGA技术构造双口RAM,实现高速信号采集系统中的海量数据存储和时钟匹配。功能仿真验证该设计的正确性,该... 为了在高速采集时不丢失数据,在数据采集系统和CPU之间设置一个数据暂存区。介绍双口RAM的存储原理及其在数字系统中的应用。采用FPGA技术构造双口RAM,实现高速信号采集系统中的海量数据存储和时钟匹配。功能仿真验证该设计的正确性,该设计能减小电路设计的复杂性,增强设计的灵活性和资源的可配置性能,降低设计成本,缩短开发周期。 展开更多
关键词 双口ram FPGA 数据采集 仿真 VERILOG HDL
下载PDF
ISA总线与双口RAM芯片IDT7025的接口设计及应用 被引量:9
11
作者 韩丰田 高钟毓 王永梁 《电测与仪表》 北大核心 2000年第11期37-40,共4页
结合静电支承控制系统的任务要求,分析了主机与DSP系统间的多种通信方式。对采用16位ISA总线与双口RAM芯片IDT7025的接口电路设计进行了重点讨论,最后给出一个在WIN98下采用中断方式对IDT7025进行数据块读写的示例。
关键词 双口ram ISA总线 数据通信 中断
下载PDF
基于双口RAM的数据采集系统设计 被引量:14
12
作者 孙爱东 金宁德 赵鑫 《电子测量技术》 2006年第2期90-91,94,共3页
文中主要介绍了油水两相管流测量装置中数据采集模块的设计。此模块由MSP430F149单片机和TMS320VC5402DSP芯片构成双处理器系统,由单片机负责数据采集及与上位机通信,DSP负责相关运算以测量混合流体轴向相关速度,利用双口RAM实现两种处... 文中主要介绍了油水两相管流测量装置中数据采集模块的设计。此模块由MSP430F149单片机和TMS320VC5402DSP芯片构成双处理器系统,由单片机负责数据采集及与上位机通信,DSP负责相关运算以测量混合流体轴向相关速度,利用双口RAM实现两种处理器之间的数据共享与通信;并采用16位并行引导方式实现了DSP芯片的程序上电自举加载。整个系统设计简明,对DSP结合单片机的双处理器系统设计有一定的借鉴意义。 展开更多
关键词 数据采集 MSP430 单片机 DSP 双口ram
下载PDF
DSP EMIF与FPGA双口RAM高速通信实现 被引量:14
13
作者 杨龙 李范鸣 刘士建 《现代电子技术》 2014年第13期10-12,16,共4页
现代电子技术的快速发展使得大量的数据需要处理与传输,为解决该问题,通过TMS320C6455的EMIF接口实现了DSP与FPGA之间的数据双向快速通信。FPGA通过EMIF接口将内部RAM中的数据传输给DSP进行处理,DSP将处理后的数据结果再通过EMIF接口传... 现代电子技术的快速发展使得大量的数据需要处理与传输,为解决该问题,通过TMS320C6455的EMIF接口实现了DSP与FPGA之间的数据双向快速通信。FPGA通过EMIF接口将内部RAM中的数据传输给DSP进行处理,DSP将处理后的数据结果再通过EMIF接口传送到FPGA的片内接收模块双口RAM并进行存储。EMIF通道实现了对数据的传输,双口RAM完成了对数据的接收。实验结果表明,该设计方案能够实现数据的双向快速正确传输。 展开更多
关键词 DSP EMIF FPGA 双口ram
下载PDF
运用双端口RAM方式通信简化数字罗盘系统设计 被引量:6
14
作者 葛伟 田维坚 +2 位作者 冯桂兰 贾兆辉 黄光伟 《科学技术与工程》 2006年第7期822-824,共3页
在数字罗盘HMR3000和单片机所组成的测控系统中运用双端口RAM方式通信,可以实现数据共享,简化系统的接口设计。介绍了这一应用方案,同时对实际应用中可能出现的端口争用问题给出了解决办法。
关键词 双端口ram 数据共享 判优 单片机 数字罗盘
下载PDF
基于双口RAM的多机数据通信技术 被引量:7
15
作者 姜平 周根荣 +1 位作者 肖红升 季斌 《仪表技术与传感器》 CSCD 北大核心 2010年第11期105-107,共3页
文中介绍了采用双口RAM转接技术,实现多机数据通信的一种可行方法。介绍了多机数据通信中的双口RAM接口和总线驱动电路。双口RAM具有接口电路简单、数据传输速度高、数据传输量大、存储数据共享等特点。采用双口RAM转接技术,解决了多机... 文中介绍了采用双口RAM转接技术,实现多机数据通信的一种可行方法。介绍了多机数据通信中的双口RAM接口和总线驱动电路。双口RAM具有接口电路简单、数据传输速度高、数据传输量大、存储数据共享等特点。采用双口RAM转接技术,解决了多机数据通信中的速度瓶颈问题。借助软件技术,有效解决了多机数据通信的数据突发性问题。 展开更多
关键词 数据通信 双口ram 单片机
下载PDF
基于双口RAM的冗余架构同步技术研究 被引量:3
16
作者 张遵伟 曹宝香 聂胜伟 《计算机工程》 CAS CSCD 2012年第18期221-224,共4页
冗余设计可提高容错设计中的可靠性,但其存在同步和丢拍的问题。为此,以航天某型号高可靠箭载嵌入式计算机研制为背景,结合飞行控制任务的需求和特点,基于DSP及双口RAM,利用中断和查询相结合的方式,提出一种"1+3"冗余架构中... 冗余设计可提高容错设计中的可靠性,但其存在同步和丢拍的问题。为此,以航天某型号高可靠箭载嵌入式计算机研制为背景,结合飞行控制任务的需求和特点,基于DSP及双口RAM,利用中断和查询相结合的方式,提出一种"1+3"冗余架构中的信息交互方案。应用结果表明,该方案能有效地解决冗余架构中同步及丢拍问题,并且在满足实时性的同时,提高可靠性。 展开更多
关键词 双口ram 冗余设计 容错设计 同步 可靠性
下载PDF
双口RAM在多CPU小卫星综合电子计算机中的应用 被引量:5
17
作者 刘强 王旭 李志刚 《计算机测量与控制》 北大核心 2014年第11期3744-3746,3750,共4页
结合小卫星综合电子系统可靠性、实时性及数据共享的特点,分析双口RAM芯片的特性,提出了基于双口RAM的多CPU架构星载综合电子计算机应用设计方案;设计用双口RAM实现多CPU之间高速、可靠的并行数据通信和共享,使整个系统软硬件设计简单,... 结合小卫星综合电子系统可靠性、实时性及数据共享的特点,分析双口RAM芯片的特性,提出了基于双口RAM的多CPU架构星载综合电子计算机应用设计方案;设计用双口RAM实现多CPU之间高速、可靠的并行数据通信和共享,使整个系统软硬件设计简单,数据充分共享,可靠性较高;给出基于双口RAM多CPU架构综合电子计算机的应用实例和实验分析,实验结果表明,该系统运行稳定可靠,具有很高的实时性和数据处理能力。 展开更多
关键词 双口ram 小卫星 综合电子系统
下载PDF
双口RAM在航空发动机参数采集系统中的应用 被引量:6
18
作者 章筱静 陈明 瞿赟 《计算机测量与控制》 CSCD 2007年第9期1250-1252,共3页
为了保证对大量数据的实时采集和处理以及与多个外部设备的数据通讯,提出了基于多数字信号处理器的航空发动机参数采集系统;多处理器系统的设计关键在于处理器之间的数据交换,提出了串行通讯、并行通讯、DAM、双口RAM四种数据交换方式并... 为了保证对大量数据的实时采集和处理以及与多个外部设备的数据通讯,提出了基于多数字信号处理器的航空发动机参数采集系统;多处理器系统的设计关键在于处理器之间的数据交换,提出了串行通讯、并行通讯、DAM、双口RAM四种数据交换方式并对4种方式进行了比较分析,最终采用双口RAM方案并详细讨论了双口RAM器件CY7C028在航空发动机参数采集系统中的具体应用,给出了CY7C028与TMS320C31和TMS320VC33之间的接口电路,并对CY7C028的分区处理进行了独特的软件设计,提高了实时性。 展开更多
关键词 双口ram CY7C028 航空发动机参数采集系统 TMS320C31 TMS320VC33
下载PDF
基于双口RAM的双CPU之间的实时双向通信 被引量:7
19
作者 邹向阳 李锋 刘戎 《微计算机信息》 北大核心 2008年第32期99-100,168,共3页
介绍一种通过双口RAM和可编程逻辑器件实现单片机80C196KC和工控机PC104之间实时双向通信的方法。对双口RAM-IDT7130和可编程逻辑器件ISP1032E的性能和特点作了详细介绍,给出了利用IDT7130和ISP1032E实现单片机80C196KC和PC104之间进行... 介绍一种通过双口RAM和可编程逻辑器件实现单片机80C196KC和工控机PC104之间实时双向通信的方法。对双口RAM-IDT7130和可编程逻辑器件ISP1032E的性能和特点作了详细介绍,给出了利用IDT7130和ISP1032E实现单片机80C196KC和PC104之间进行高速数据通信的接口电路及软件实现方案。 展开更多
关键词 双口ram 80C196KC PC104 实时双向通信
下载PDF
双端口RAM在ARM与DSP通信系统中的应用 被引量:7
20
作者 刘玉珍 张晔 《计算机系统应用》 2011年第11期214-216,213,共4页
通过使用IDT70261双端口RAM实现了ARM与TMS320C6211 DSP之间的高速实时数据通信,给出了双端口RAM与TMS320C6211和ARM的硬件连接图和ARM驱动编写细节。
关键词 双端口ram TMS320C6211 ARM 数据通信 中断
下载PDF
上一页 1 2 22 下一页 到第
使用帮助 返回顶部