期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
基于FPGA的推箱子游戏设计与实现
1
作者 徐华伟 林凡 《电子制作》 2019年第19期24-26,共3页
本文介绍了一款基于FPGA的推箱子游戏开发技术和方法。推箱子是一款经典游戏,老少皆宜,既锻炼脑力又愉悦身心。系统以Xilinx的EGO1开发板为硬件平台,以Artix-7 XC7A35T为主控芯片,基于Vivado开发环境,采用Verilog HDL语言,完成游戏设计... 本文介绍了一款基于FPGA的推箱子游戏开发技术和方法。推箱子是一款经典游戏,老少皆宜,既锻炼脑力又愉悦身心。系统以Xilinx的EGO1开发板为硬件平台,以Artix-7 XC7A35T为主控芯片,基于Vivado开发环境,采用Verilog HDL语言,完成游戏设计与实现。玩家通过键盘和电脑显示器进行游戏操控,游戏伴有背景音乐播放和游戏音效。 展开更多
关键词 FPGA VERILOG HDL ego1开发板 VGA 游戏设计
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部