期刊文献+
共找到249篇文章
< 1 2 13 >
每页显示 20 50 100
ELECTRON OPTICS OF VARIABLE RECTANGULAR SHAPED BEAM LITHOGRAPHY SYSTEM D J-2
1
作者 康念坎 《Journal of Electronics(China)》 1993年第2期170-180,共11页
The electron optical column for the variable rectangular-shaped beam lithographysystem DJ-2 is described,with emphasis on the analysis of the optical configuration and theshaping deflection compensation.In this column... The electron optical column for the variable rectangular-shaped beam lithographysystem DJ-2 is described,with emphasis on the analysis of the optical configuration and theshaping deflection compensation.In this column the variable spot shaping is performed with aminimum number of lenses by a more reasonable optical scheme.A high-sensitivity electrostaticshaping deflector with sequential parallel-plates is implemented for high-speed spot shaping.With a precise linear and rotational approach,the spot current density,the edge resolution aswell as the position of spot origin remain unchanged when the spot size varies.Experiments showthat the spot current density of over 0.4 A/cm^2 is obtained with a tungsten hairpin cathode,andthe edge resolution is better than 0.2μm within a 2×2 mm^2 field size. 展开更多
关键词 electron OPTICS electron beam lithography VARIABLE rectangular-shaped beam
下载PDF
DESIGN AND PERFORMANCE OF SHAPING DEFLECTORS FOR VARIABLY SHAPED ELECTRON BEAM LITHOGRAPHY
2
作者 康念坎 江钧基 +2 位作者 吴伟 黄兰友 吴明均 《Journal of Electronics(China)》 1990年第4期336-346,共11页
In order to obtain uniform exposure in variably shaped electron beam lithography,the beam current density and edge resolution on the target must not change for different spotshapes and sizes.The key to the goal is the... In order to obtain uniform exposure in variably shaped electron beam lithography,the beam current density and edge resolution on the target must not change for different spotshapes and sizes.The key to the goal is the appropriate design of shaping deflectors.A linearand rotation compensation approach is presented.Values of linear and rotation compensationfactors versus the distances between electron source image and centers of deflectors are measuredon an experimental electron beam column with variable spot shaping.The experimental resultsare in good agreement with the calculated ones. 展开更多
关键词 electron beam lithography electron Optics SHAPING DEFLECTOR
下载PDF
Nanofabrication of 50 nm zone plates through e-beam lithography with local proximity effect correction for x-ray imaging 被引量:3
3
作者 朱静远 张思超 +8 位作者 谢珊珊 徐晨 张丽娟 陶旭磊 任玉琦 王玉丹 邓彪 邰仁忠 陈宜方 《Chinese Physics B》 SCIE EI CAS CSCD 2020年第4期456-461,共6页
High resolution Fresnel zone plates for nanoscale three-dimensional imaging of materials by both soft and hard x-rays are increasingly needed by the broad applications in nanoscience and nanotechnology.When the outmos... High resolution Fresnel zone plates for nanoscale three-dimensional imaging of materials by both soft and hard x-rays are increasingly needed by the broad applications in nanoscience and nanotechnology.When the outmost zone-width is shrinking down to 50 nm or even below,patterning the zone plates with high aspect ratio by electron beam lithography still remains a challenge because of the proximity effect.The uneven charge distribution in the exposed resist is still frequently observed even after standard proximity effect correction(PEC),because of the large variety in the line width.This work develops a new strategy,nicknamed as local proximity effect correction(LPEC),efficiently modifying the deposited energy over the whole zone plate on the top of proximity effect correction.By this way,50 nm zone plates with the aspect ratio from 4:1 up to 15:1 and the duty cycle close to 0.5 have been fabricated.Their imaging capability in soft(1.3 keV)and hard(9 keV)x-ray,respectively,has been demonstrated in Shanghai Synchrotron Radiation Facility(SSRF)with the resolution of 50 nm.The local proximity effect correction developed in this work should also be generally significant for the generation of zone plates with high resolutions beyond 50 nm. 展开更多
关键词 FRESNEL zone PLATES electron beam lithography LOCAL PROXIMITY effect correction x-ray imaging 50 NM resolution
下载PDF
POLYMETHYLMETHACRYLATE LANGMUIR-BLODGETT FILMS FOR HIGH RESOLUTION ELECTRON BEAM RESIST
4
作者 鲁武 顾宁 +2 位作者 韦钰 沈浩瀛 张岚 《Journal of Electronics(China)》 1994年第3期247-252,共6页
Ultra-thin (20-100nm) polymethylmethacrylate(PMMA) films prepared by Langmuir-Blodgett techniques have been explored as high resolution electron beam resists. A Hitachi S-450 Scanning Electron Microscope (SEM) has bee... Ultra-thin (20-100nm) polymethylmethacrylate(PMMA) films prepared by Langmuir-Blodgett techniques have been explored as high resolution electron beam resists. A Hitachi S-450 Scanning Electron Microscope (SEM) has been refitted for a high resolution electron beam exposure system. The lithographic properties and exposure conditions of LB PMMA films were investigated. 0.15μm lines-and-spaces patterns were achieved by using the SEM as the exposure tool. The results demonstrate that the etch resistance of such films is sufficiently good to allow patterning of a 20 nm aluminum film suitable for mask fabrication. 展开更多
关键词 LANGMUIR-BLODGETT film electron beam lithography RESIST
下载PDF
Nonlinear Solubility Behavior of Polymer and Oligomer Resists at Electron Beam Modification
5
作者 Katia Vutova Georgy Mladenov +4 位作者 Elena Koleva Ivan Kostic Anna Bencurova Pavol Nemec TakeshiTanaka 《材料科学与工程(中英文B版)》 2011年第4期523-529,共7页
关键词 线性聚合物 电子束改性 行为机制 溶解度 齐聚物 电子束光刻 倍半硅氧烷 曝光剂量
下载PDF
新型锑氧簇光刻胶的性能与机理研究
6
作者 司友明 郑凌峰 +2 位作者 陈鹏忠 樊江莉 彭孝军 《化工学报》 EI CSCD 北大核心 2024年第4期1705-1717,共13页
随着半导体行业集成度越来越高,对光刻材料提出了更高的要求。近年来,金属氧簇光刻胶由于尺寸小、结构设计灵活,得到了广泛的研究。目前锑基金属光刻胶仅局限于含锑配合物。开发出新型锑氧簇光刻胶,通过对比金属有机组装Sb_(4)O-1与自组... 随着半导体行业集成度越来越高,对光刻材料提出了更高的要求。近年来,金属氧簇光刻胶由于尺寸小、结构设计灵活,得到了广泛的研究。目前锑基金属光刻胶仅局限于含锑配合物。开发出新型锑氧簇光刻胶,通过对比金属有机组装Sb_(4)O-1与自组装Sb_(4)O-2的溶解度差异说明自组装策略优势。原子力显微镜证实Sb_(4)O-2光刻胶可形成光滑薄膜,并获得低粗糙度值(均方根粗糙度<0.3 nm)。电子束光刻(EBL)证明Sb_(4)O-2光刻胶优异的图案化能力(线宽<50 nm),理论计算支持X射线光电子能谱(XPS)分析的新型自组装Sb_(4)O-2“配体解离”机制。 展开更多
关键词 锑氧簇 自组装 光刻胶 理论计算 电子束光刻 成像 溶解性 纳米材料
下载PDF
电子束光刻HSQ显影对比度中的图形密度效应
7
作者 梁惠康 段辉高 《微纳电子技术》 CAS 2024年第2期137-144,共8页
氢倍半氧硅烷(HSQ)是一种高分辨的电子束抗蚀剂,其稀疏结构的分辨率已证实达到亚5 nm。但在实际应用中,很难达到约10 nm周期的密集结构,其中间隙残胶问题是无法实现更高分辨率的根本原因。利用传统大块薄膜获取的显影对比度进行理论计算... 氢倍半氧硅烷(HSQ)是一种高分辨的电子束抗蚀剂,其稀疏结构的分辨率已证实达到亚5 nm。但在实际应用中,很难达到约10 nm周期的密集结构,其中间隙残胶问题是无法实现更高分辨率的根本原因。利用传统大块薄膜获取的显影对比度进行理论计算,得到的结果与实际曝光的分辨率极限存在较大差异。针对这一问题,提出了与图形相关的显影对比度,提高了传统显影对比度在密集图形分辨率极限预测中的适用性。对HSQ的微观显影机理进行了阐述,分析了大块薄膜、亚10 nm周期密集高分辨结构显影过程和显影对比度曲线差异,预测和实验验证了超稀疏结构的超高显影对比度(线剂量对比度约为114)。该研究为改善HSQ工艺及提升计算光刻模型的精度提供了新的思路。 展开更多
关键词 电子束光刻(ebl) 氢倍半氧硅烷(HSQ) 显影对比度 分辨率极限 显影机理 图形密度效应
下载PDF
Chemically Amplified Resist Based on Dendritic Molecular Glass for Electron Beam Lithography
8
作者 HU Shengwen CHEN Jinping +3 位作者 YU Tianjun ZENG Yi YANG Guoqiang LI Yi 《Chemical Research in Chinese Universities》 SCIE CAS CSCD 2023年第1期139-143,共5页
A novel dendritic molecular glass(MG)containing adamantane core(AD-15)was synthesized and characterized.It exhibits good solubility in common organic solvents and a stable amorphous state at room temperature,which con... A novel dendritic molecular glass(MG)containing adamantane core(AD-15)was synthesized and characterized.It exhibits good solubility in common organic solvents and a stable amorphous state at room temperature,which contributes to forming films with different thicknesses by spin-coating.The thermal analysis of AD-15 indicates that no apparent glass transition temperature(Tg)is observed before the thermal decomposition temperature(Td=160℃).The good thermal resistance suggests that it can satisfy the lithographic process and is a candidate for photoresist materials.The patterning properties of AD-15 resist were evaluated by electron beam lithography(EBL).By optimizing the lithographic process parameters,AD-15 resist can achieve 40 nm half-pitch patterns with a line-edge roughness of 4.0 nm.The contrast and sensitivity of AD-15 resist were 1.9 and 67µC/cm^(2),respectively.Compared with the commercial PMMA(950k)electron beam resist,the sensitivity of AD-15 resist increases by 6 times.This study provides a new example of molecular glass resist with high resolution and sensitivity for EBL. 展开更多
关键词 Dendritic molecule Molecular glass Chemically amplified resist electron beam lithography
原文传递
Fabrication of a 256-bits organic memory by soft x-ray lithography 被引量:1
9
作者 刘兴华 鲁闻生 +4 位作者 姬濯宇 涂德钰 朱效立 谢常青 刘明 《Chinese Physics B》 SCIE EI CAS CSCD 2010年第5期499-504,共6页
This paper reports a procedure of soft x-ray lithography for the fabrication of an organic crossbar structure. Electron beam lithography is employed to fabricate the mask for soft x-ray lithography, with direct writin... This paper reports a procedure of soft x-ray lithography for the fabrication of an organic crossbar structure. Electron beam lithography is employed to fabricate the mask for soft x-ray lithography, with direct writing technology to the lithograph positive resist and polymethyl methacrylate on the polyimide film. Then Au is electroplated on the polyimide film. Hard contact mode exposure is used in x-ray lithography to transfer the graph from the mask to the wafer. The 256-bits organic memory is achieved with the critical dimension of 250 nm. 展开更多
关键词 molecular memory crossbar array soft x-ray lithography electron beam lithography
下载PDF
电子束光刻“自主可控”EDA软件HNU-EBL
10
作者 姚文泽 徐宏成 +5 位作者 赵浩杰 刘薇 侯程阳 陈艺勤 段辉高 刘杰 《湖南大学学报(自然科学版)》 EI CAS CSCD 北大核心 2022年第10期183-191,共9页
为模拟和优化电子束光刻(Electron Beam Lithography,EBL)工艺过程,提高电子束光刻版图加工质量,依托湖南大学(Hunan University,HNU)开发了一套电子束光刻的“自主可控”国产电子设计自动化(Electronic Design Automation,EDA)软件HNU-... 为模拟和优化电子束光刻(Electron Beam Lithography,EBL)工艺过程,提高电子束光刻版图加工质量,依托湖南大学(Hunan University,HNU)开发了一套电子束光刻的“自主可控”国产电子设计自动化(Electronic Design Automation,EDA)软件HNU-EBL.该软件实现了以下主要功能:1)基于Monte Carlo方法计算电子束在光刻胶和衬底中的散射过程与运动轨迹;2)基于多高斯加指数函数模型计算拟合出电子束散射的点扩散函数;3)基于GDSII光刻版图文件矩阵化,进行邻近效应、雾效应等校正计算,优化电子束曝光剂量;4)基于卷积计算,计算出给定曝光剂量下的能量沉积密度,并计算出边缘放置误差等光刻加工质量关键指标.基于该软件,通过异或门(Exclusive OR,XOR)集成电路的光刻版图算例,计算在聚甲基丙烯酸甲酯(Polymethyl Methacrylate,PMMA)光刻胶和硅衬底中10 kV电子束的光刻工艺过程.通过对比电子束邻近效应校正前后的显影版图,验证了该软件的有效性.在完全相同的计算硬件和算例条件下,与主流同类进口EDA软件进行了对比,证实了在同等精度下,本软件具有更高的计算效率.已建立http://www.ebeam.com.cn网站,将HNU-EBL软件免费授权给EBL用户使用. 展开更多
关键词 电子束光刻 计算光刻 Monte Carlo方法 邻近效应校正 EDA软件
下载PDF
Electron Moirémethod
11
作者 Satoshi Kishimoto 《Theoretical & Applied Mechanics Letters》 CAS 2012年第1期1-7,共7页
It is very important to measure local deformations for an in-depth understanding of mechanical properties and fracture mechanism of structural and functional materials. In this paper, different types of model grid fab... It is very important to measure local deformations for an in-depth understanding of mechanical properties and fracture mechanism of structural and functional materials. In this paper, different types of model grid fabrication methods and many types of electron Moire methods using an electron beam drawing system, a scanning electron microscope or a focus ion beam are reported, together with their applications in the measurement of deformations occurring in various engineerings and materials science research. 展开更多
关键词 electron Moire method micro and nano lithography electron beam scan secondary electron micro deformation measurement
下载PDF
Power Law Nature in Electron Solid Interaction
12
作者 Moirangthem Shubhakanta Singh R. K. Brojen Singh 《Advances in Materials Physics and Chemistry》 2017年第1期11-18,共8页
Monte Carlo simulation of paths of a large number of impinging electrons in a multi-layered solid allows defining area of spreading electrons (A) to capture overall behavior of the solid. This parameter “A” follows ... Monte Carlo simulation of paths of a large number of impinging electrons in a multi-layered solid allows defining area of spreading electrons (A) to capture overall behavior of the solid. This parameter “A” follows power law with electron energy. Furthermore, change in critical energies, which are minimum energies loses corresponding to various electrons, as a function of variation in lateral distance also follows power law nature. This power law behavior could be an indicator of how strong self-organization a solid has which may be used in monitoring efficiency of device fabrication. 展开更多
关键词 MONTE Carlo Simulations Power Law E-beam lithography electron SOLID INTERACTION
下载PDF
电子束光刻制备In-Ga-Zn-O场效应晶体管
13
作者 杜晓松 王宇 孔祥兔 《半导体技术》 CAS 北大核心 2023年第11期991-994,1019,共5页
无掩模直写技术制备半导体器件的方法在微电子学领域受到了广泛关注。提出了采用电子束直写技术对SnO_(2)薄膜进行图形化并辐照改性的方法,成功制备了以SnO_(2)为源/漏电极的底栅型铟镓锌氧化物(IGZO)场效应晶体管(FET)并对其进行了测... 无掩模直写技术制备半导体器件的方法在微电子学领域受到了广泛关注。提出了采用电子束直写技术对SnO_(2)薄膜进行图形化并辐照改性的方法,成功制备了以SnO_(2)为源/漏电极的底栅型铟镓锌氧化物(IGZO)场效应晶体管(FET)并对其进行了测试。测试结果表明,该IGZO FET展现了优良的电学性能:高源漏电流开关比(1.1×10^(6))、高电子迁移率(1.4 cm^(2)/(V·s))、较小的回滞差分电压(<2 V)、极低的栅极漏电流(<1 nA),这为无掩模版制备高性能氧化物半导体器件及柔性全透明集成电路提供了全新的方法。 展开更多
关键词 电子束光刻 无掩模版图形化 直写技术 氧化物半导体器件 In-Ga-Zn-O
下载PDF
Large area mold fabrication for the nanoimprint lithography using electron beam lithography 被引量:6
14
作者 CHU JinKui1,2,MENG FanTao1,2,HAN ZhiTao1,2 & GUO Qing1,2 1 Key Laboratory for Micro/Nano Technology and System of Liaoning Province,Dalian University of Technology,Dalian 116024,China 2 Key Laboratory for Precision and Non-traditional Machining Technology of Ministry of Education,Dalian University of Technology,Dalian 116024,China 《Science China(Technological Sciences)》 SCIE EI CAS 2010年第1期248-252,共5页
The mold fabrication is a critical issue for the development of nanoimprint lithography as an effective low-cost and mass production process.This paper describes the fabrication process developed to fabricate the larg... The mold fabrication is a critical issue for the development of nanoimprint lithography as an effective low-cost and mass production process.This paper describes the fabrication process developed to fabricate the large area nanoimprint molds on the silicon wafers.The optimization of e-beam exposure dose and pattern design is presented.The overlayer process is developed to improve the field stitching accuracy of e-beam exposure,and around 10 nm field stitching accuracy is obtained.By means of the optimization of the e-beam exposure dose,pattern design and overlayer process,large area nanoimprint molds having dense line structures with around 10 nm field stitching accuracy have been fabricated.The fabricated mold was used to imprint commercial imprinting resist. 展开更多
关键词 NANOIMPRINT lithography MOLD FABRICATION electron beam lithography
原文传递
Development of massively parallel electron beam direct write lithography using active-matrix nanocrystalline-silicon electron emitter arrays 被引量:4
15
作者 Masayoshi Esashi Akira Kojima +2 位作者 Naokatsu Ikegami Hiroshi Miyaguchi Nobuyoshi Koshida 《Microsystems & Nanoengineering》 EI 2015年第1期44-51,共8页
Nanoscale lithographic technologies have been intensively studied for the development of the next generation of semiconductor manufacturing practices.While mask-less/direct-write electron beam(EB)lithography methods s... Nanoscale lithographic technologies have been intensively studied for the development of the next generation of semiconductor manufacturing practices.While mask-less/direct-write electron beam(EB)lithography methods serve as a candidate for the upcoming 10-nm node approaches and beyond,it remains difficult to achieve an appropriate level of throughput.Several innovative features of the multiple EB system that involve the use of a thermionic source have been proposed.However,a blanking array mechanism is required for the individual control of multiple beamlets whereby each beamlet is deflected onto a blanking object or passed through an array.This paper reviews the recent developments of our application studies on the development of a high-speed massively parallel electron beam direct write(MPEBDW)lithography.The emitter array used in our study includes nanocrystalline-Si(nc-Si)ballistic electron emitters.Electrons are drifted via multiple tunnelling cascade transport and are emitted as hot electrons.The transport mechanism allows one to quickly turn electron beamlets on or off.The emitter array is a micro-electro-mechanical system(MEMS)that is hetero-integrated with a separately fabricated active-matrix-driving complementary metal-oxide semiconductor(CMOS)large-scale integration(LSI)system that controls each emitter individually.The basic function of the LSI was confirmed to receive external writing bitmap data and generate driving signals for turning beamlets on or off.Each emitted beamlet(10×10μm^(2))is converged to 10×10 nm^(2) on a target via the reduction electron optic system under development.This paper presents an overview of the system and characteristic evaluations of the nc-Si emitter array.We examine beamlets and their electron emission characteristics via a 1:1 exposure test. 展开更多
关键词 direct write lithography electron beam lithography electron emitter array multiple electron beams NANOCRYSTALLINE Si
原文传递
A process study of electron beam nano-lithography and deep etching with an ICP system 被引量:2
16
作者 LI QunQing ZHANG LiHui +1 位作者 CHEN Mo FAN ShouShan 《Science China(Technological Sciences)》 SCIE EI CAS 2009年第6期1665-1671,共7页
A systemic process study on an electron beam nanolithography system operating at 100kV was pre-sent.The exposure conditions were optimized for resist ZEP520A.Grating structures with line/space of 50nm/50nm were obtain... A systemic process study on an electron beam nanolithography system operating at 100kV was pre-sent.The exposure conditions were optimized for resist ZEP520A.Grating structures with line/space of 50nm/50nm were obtained in a reasonably thick resist which is beneficial to the subsequent pattern transfer technique.The ICP etching process conditions was optimized.The role of etching parameters such as source power,gas pressure,and gas flow rate on the etching result was also discussed.A grating structure with line widths as small as 100nm,duty cycles of 0.5,depth of 900nm,and the side-wall scalloping as small as 5nm on a silicon substrate was obtained.The silicon deep etching technique for structure sizes smaller than 100nm is very important for the fabrication of nano-optical devices working in the visible regime. 展开更多
关键词 electron beam lithography ZEP520A RESIST REACTIVE ion ETCHING nanofabrication
原文传递
Latest developments in EUV photoresist evaluation capability at Shanghai Synchrotron Radiation Facility
17
作者 Zhen‑Jiang Li Cheng‑Hang Qi +8 位作者 Bei‑Ning Li Shu‑Min Yang Jun Zhao Zhi‑Di Lei Shi‑Jie Zhu Hao Shi Lu Wang Yan‑Qing Wu Ren‑Zhong Tai 《Nuclear Science and Techniques》 SCIE EI CAS CSCD 2023年第12期206-215,共10页
Evaluating the comprehensive characteristics of extreme ultraviolet(EUV)photoresists is crucial for their application in EUV lithography,a key process in modern technology.This paper highlights the capabilities of the... Evaluating the comprehensive characteristics of extreme ultraviolet(EUV)photoresists is crucial for their application in EUV lithography,a key process in modern technology.This paper highlights the capabilities of the Shanghai Synchrotron Radiation Facility(SSRF)08U1B beamline in advancing this field.Specifically,it demonstrates how this beamline can create fringe patterns with a 15-nm half-pitch on a resist using synchrotron-based EUV lithography.This achievement is vital for evaluating EUV photoresists at the advanced 5-nm node.We provide a detailed introduction to the methods and experimental setup used at the SSRF 08U1B beamline to assess an EUV photoresist.A significant part of this research involved the fabrication of high-resolution hydrogen silsesquioxane mask gratings.These gratings,with an aspect ratio of approximately 3,were created using electron beam lithography on an innovative mask framework.This framework was crucial in eliminating the impact of zeroth-order light on interference patterns.The proposed framework propose offers a new approach to mask fabrication,particularly beneficial for achromatic Talbot lithography and multicoherent-beam interference applications. 展开更多
关键词 Extreme ultraviolet photoresist Interference lithography HIGH-RESOLUTION electron beam lithography·Hydrogen silsesquioxane GRATING
下载PDF
Electron-beam lithography for polymer bioMEMS with submicron features 被引量:2
18
作者 Kee Scholten Ellis Meng 《Microsystems & Nanoengineering》 EI 2016年第1期45-51,共7页
We present a method for submicron fabrication of flexible,thin-film structures fully encapsulated in biocompatible polymer poly(chloro-p-xylylene)(Parylene C)that improves feature size and resolution by an order of ma... We present a method for submicron fabrication of flexible,thin-film structures fully encapsulated in biocompatible polymer poly(chloro-p-xylylene)(Parylene C)that improves feature size and resolution by an order of magnitude compared with prior work.We achieved critical dimensions as small as 250 nm by adapting electron beam lithography for use on vapor deposited Parylene-coated substrates and fabricated encapsulated metal structures,including conducting traces,serpentine resistors,and nano-patterned electrodes.Structures were probed electrically and mechanically demonstrating robust performance even under flexion or torsion.The developed fabrication process for electron beam lithography on Parylene-coated substrates and characterization of the resulting structures are presented in addition to a discussion of the challenges of applying electron beam lithography to polymers.As an application of the technique,a Parylene-based neural probe prototype was fabricated with 32 recording sites patterned along a 2 mm long shank,an electrode density surpassing any prior polymer probe. 展开更多
关键词 electron beam lithography flexible electronics parylene C polymer MEMS
原文传递
A method to restrain the charging effect on an insulating substrate in high energy electron beam lithography 被引量:1
19
作者 于明岩 赵士瑞 +2 位作者 景玉鹏 施云波 陈宝钦 《Journal of Semiconductors》 EI CAS CSCD 2014年第12期144-149,共6页
Pattern distortions caused by the charging effect should be reduced while using the electron beam lithography process on an insulating substrate. We have developed a novel process by using the SX AR-PC 5000/90.1soluti... Pattern distortions caused by the charging effect should be reduced while using the electron beam lithography process on an insulating substrate. We have developed a novel process by using the SX AR-PC 5000/90.1solution as a spin-coated conductive layer, to help to fabricate nanoscale patterns of poly-methyl-methacrylate polymer resist on glass for phased array device application. This method can restrain the influence of the charging effect on the insulating substrate effectively. Experimental results show that the novel process can solve the problems of the distortion of resist patterns and electron beam main field stitching error, thus ensuring the accuracy of the stitching and overlay of the electron beam lithography system. The main characteristic of the novel process is that it is compatible to the multi-layer semiconductor process inside a clean room, and is a green process, quite simple, fast, and low cost. It can also provide a broad scope in the device development on insulating the substrate,such as high density biochips, flexible electronics and liquid crystal display screens. 展开更多
关键词 charging effect pattern distortions electron beam lithography
原文传递
200 nm gate-length GaAs-based MHEMT devices by electron beam lithography 被引量:4
20
作者 XU JingBo ZHANG HaiYing +5 位作者 WANG WenXin LIU Liang LI Ming FU XiaoJun NIU JieBin YE TianChun 《Chinese Science Bulletin》 SCIE EI CAS 2008年第22期3585-3589,共5页
GaAs-based metamorphic HEMTs (MHEMT) consist of GaAs substrates and InP-based epitaxial structure, and have the advantages of both InP HEMT's excellent performances and GaAs-based HEMT's mature processes. GaAs... GaAs-based metamorphic HEMTs (MHEMT) consist of GaAs substrates and InP-based epitaxial structure, and have the advantages of both InP HEMT's excellent performances and GaAs-based HEMT's mature processes. GaAs-based MHEMTs were applied to millimeter-wave low-noise, high-power applications and systems. The current gain cut-off frequency (fT) and the maximum oscillation frequency (fmax) are important performance parameter of GaAs-based MHEMTs, and they are limited by the gate-length mainly. Electron beam lithography is one of the lithography technologies which can be used to realize the deep submicron gate-length. The 200 nm gate-length GaAs-based MHEMTs have been fabricated by electron beam lithography. In order to reduce the parasite gate capacitance and gate resistance, a trilayer resist structure was used to pattern the T-gate resist profile. Excellent DC, high frequency and power performances have been obtained. FT and fmax are 105 GHz, 70 GHz respectively. The research is very helpful to obtain higher performance GaAs-based MHEMTs. 展开更多
关键词 电子束 MHEMT 电流 电子频率
原文传递
上一页 1 2 13 下一页 到第
使用帮助 返回顶部