期刊文献+
共找到35篇文章
< 1 2 >
每页显示 20 50 100
基于FPGA的一种智能交通红绿灯设计 被引量:9
1
作者 王维松 章伟 +1 位作者 王金生 梁齐 《计算机应用与软件》 CSCD 北大核心 2013年第1期200-202,234,共4页
论述一种基于FPGA的交通红绿灯设计方案,可以有效解决城市中十字路口各方向车流量不均衡,特别是同一条道路相对方向车流量不均衡的问题。对相对方向采用不同步的红绿灯信号,减小了十字路口交通资源的浪费情况,实现对交通信号的智能控制... 论述一种基于FPGA的交通红绿灯设计方案,可以有效解决城市中十字路口各方向车流量不均衡,特别是同一条道路相对方向车流量不均衡的问题。对相对方向采用不同步的红绿灯信号,减小了十字路口交通资源的浪费情况,实现对交通信号的智能控制,极大提高十字路口的车辆运行效率。 展开更多
关键词 红绿灯 无线收发模块 fpga智能控制
下载PDF
十字路口智能交通灯控制系统的FPGA实现 被引量:8
2
作者 王维松 王金生 +1 位作者 章伟 梁齐 《电子科技》 2012年第9期37-39,44,共4页
针对现实中越来越严重的城市交通拥堵现象,提出了一种城市十字路口交通信号灯控制与FPGA实现的新方法。解决了各车道车流量不均衡所造成的十字路口交通资源浪费问题,设计的智能交通控制系统利用对相向车道采用不同步的红绿灯信号控制方... 针对现实中越来越严重的城市交通拥堵现象,提出了一种城市十字路口交通信号灯控制与FPGA实现的新方法。解决了各车道车流量不均衡所造成的十字路口交通资源浪费问题,设计的智能交通控制系统利用对相向车道采用不同步的红绿灯信号控制方法,能够减少交通资源浪费,大幅提高十字路口的车辆通行效率。 展开更多
关键词 fpga 交通信号灯 智能控制 无线收/发模块
下载PDF
基于FPGA的交通信号灯控制系统 被引量:4
3
作者 楚岩 汪海光 邵严 《现代电子技术》 2012年第9期184-186,共3页
为了解决传统交通灯控制系统常采用单片机或PLC等控制芯片所具有的控制不精确、系统外围电路复杂、程序修改不灵活、成本偏高等缺点,利用VHDL硬件描述语言,通过QuartusⅡ软件和以CycloneⅡ系列FPGA为核心的开发板,完成交通信号灯控制系... 为了解决传统交通灯控制系统常采用单片机或PLC等控制芯片所具有的控制不精确、系统外围电路复杂、程序修改不灵活、成本偏高等缺点,利用VHDL硬件描述语言,通过QuartusⅡ软件和以CycloneⅡ系列FPGA为核心的开发板,完成交通信号灯控制系统的设计。该系统具有电路简单、可靠性强、运算速度高、参数易修改等特点。通过软件进行仿真,并在KX_7C5TP型开发板下载模拟,结果表明系统工作正常,控制器能完成预定的设计要求。 展开更多
关键词 交通信号灯控制系统 vhdl EDA fpga
下载PDF
交通信号灯控制电路的FPGA设计 被引量:4
4
作者 武玉华 周玉坤 +1 位作者 秦源 王红红 《微计算机信息》 北大核心 2008年第2期199-200,共2页
介绍了一种交通信号灯控制电路的功能及其设计方案,给出了具体电路的逻辑设计结果,并通过QuartusⅡ5.0软件的仿真验证以及硬件的调试,证实该电路能够实现对红黄绿交通灯的安全自动控制。
关键词 交通灯控制 fpga 定时 译码
下载PDF
基于VHDL的可变多相位交通信号控制器设计 被引量:2
5
作者 林涛 贺昱曜 《长安大学学报(自然科学版)》 EI CAS CSCD 北大核心 2007年第4期84-87,共4页
针对交通信号灯控制器的设计问题,采用层次化的设计方法,应用VHDL语言编制了可变多相位交通信号灯控制器的程序,并利用MAXPLUSⅡ进行了仿真。仿真结果表明:该系统可分别实现2、3、4相位交通信号灯控制,并且各相位的灯时分配能方便地通... 针对交通信号灯控制器的设计问题,采用层次化的设计方法,应用VHDL语言编制了可变多相位交通信号灯控制器的程序,并利用MAXPLUSⅡ进行了仿真。仿真结果表明:该系统可分别实现2、3、4相位交通信号灯控制,并且各相位的灯时分配能方便地通过设定输入进行调节,增强了系统的灵活性和适用范围。 展开更多
关键词 交通工程 交通信号灯 控制器 多相位 vhdl语言
下载PDF
基于FPGA技术的交通灯控制系统设计 被引量:2
6
作者 李国栋 任志平 《现代电子技术》 2008年第17期190-192,共3页
交通灯控制系统在城市交通监管中起着极其重要的作用。应用VHDL语言,在Altera公司的Max+PlusⅡ软件环境下,通过模块化编程完成了灯亮时间可调的交通灯控制系统设计,并进行了逻辑综合、仿真和硬件下载,系统的软件仿真和实验测试结果满足... 交通灯控制系统在城市交通监管中起着极其重要的作用。应用VHDL语言,在Altera公司的Max+PlusⅡ软件环境下,通过模块化编程完成了灯亮时间可调的交通灯控制系统设计,并进行了逻辑综合、仿真和硬件下载,系统的软件仿真和实验测试结果满足了设计要求,达到了预期的效果。由于设计采用了EDA技术,不但大大缩短了开发研制周期,提高了设计效率,而且使系统具有设计灵活,实现简单,性能稳定的特点。 展开更多
关键词 交通灯控制 fpga vhdl 仿真
下载PDF
VHDL数字系统设计 被引量:1
7
作者 任旭虎 王心刚 《青岛大学学报(工程技术版)》 CAS 2001年第3期81-84,共4页
介绍了硬件描述语言VHDL的结构模型及设计方法 ,并将此方法应用于交通灯控制器的设计中 ,总结出了用VHDL设计的优点并对其应用前景做了展望。
关键词 vhdl 交通灯控制器 数字系统 设计 结构模型 硬件描述语言
下载PDF
基于FPGA的交通信号控制器的设计 被引量:7
8
作者 王正勇 《电子测量技术》 2008年第10期188-190,共3页
为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了包含左转指示灯在内的具有4种信号灯和倒计时显示器的交通信号灯控制系统,在Quartus Ⅱ下进行仿真,并下载到FPGA中制... 为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了包含左转指示灯在内的具有4种信号灯和倒计时显示器的交通信号灯控制系统,在Quartus Ⅱ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行。使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 展开更多
关键词 交通信号灯 控制系统vhdl fpga QuartusⅡ
下载PDF
基于FPGA的交通灯控制器实现 被引量:8
9
作者 杨贵 郑善贤 《中国仪器仪表》 2003年第9期41-43,共3页
传统交通灯控制器多数由单片机或PLC实现。本论文介绍一种用FPGA实现交通灯控制器的设计方法。
关键词 交通灯控制器 单片机 PLC fpga 设计 vhdl MAXPLUSⅡ
下载PDF
基于FPGA的交通灯控制系统设计 被引量:4
10
作者 刘艳昌 王廷雨 《河南科技学院学报(自然科学版)》 2013年第4期67-73,共7页
针对传统利用单片机或PLC等控制芯片设计交通灯控制系统存在控制精度不高、外围电路复杂、程序修改不灵活、成本较高的问题,采用Verilog HDL语言,以QuartusII软件为系统设计平台,对各功能模块进行设计.在原理图文件中添加各功能模块元... 针对传统利用单片机或PLC等控制芯片设计交通灯控制系统存在控制精度不高、外围电路复杂、程序修改不灵活、成本较高的问题,采用Verilog HDL语言,以QuartusII软件为系统设计平台,对各功能模块进行设计.在原理图文件中添加各功能模块元件符号,然后通过连线组合各底层模块来实现顶层模块设计.系统具有参数修改灵活、外围电路少、控制精度高、可靠性强、成本低等优点.通过软件进行仿真,并在ASK2CB开发板上下载模拟.结果表明:控制系统工作正常,满足设计要求. 展开更多
关键词 fpga 交通灯控制系统 Quartus II VERILOG HDL
下载PDF
基于VHDL语言的交通灯控制器设计 被引量:1
11
作者 杨捷 蒋炜华 马临超 《河南机电高等专科学校学报》 CAS 2008年第3期102-105,共4页
传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制器设计的一般思路和方法。选择XILINX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5.X和MODELSIMSE 6.0开发工具进行了程序的编译和功能仿... 传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制器设计的一般思路和方法。选择XILINX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5.X和MODELSIMSE 6.0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿真结果表明该系统的设计方案正确。 展开更多
关键词 vhdl fpga 交通灯控制器 功能仿真
下载PDF
基于FPGA的交通灯的设计与实现 被引量:6
12
作者 袁海林 刘思颂 黄薇 《电子质量》 2013年第3期15-19,共5页
该文叙述了一种基于FPGA(Field-Programmable Gate Array)和Quartus II开发平台来实现十字路口交通灯控制系统的方案。其中,各功能模块采用VHDL硬件语言来表达,在Quartus II平台上进行编译、仿真,并生成相应的顶层文件,然后下载到FPGA... 该文叙述了一种基于FPGA(Field-Programmable Gate Array)和Quartus II开发平台来实现十字路口交通灯控制系统的方案。其中,各功能模块采用VHDL硬件语言来表达,在Quartus II平台上进行编译、仿真,并生成相应的顶层文件,然后下载到FPGA芯片上,最后进行实验验证。实验结果表明,设计的交通灯控制系统基本可以实现预定的功能,具有一定的可行性。 展开更多
关键词 交通灯控制系统 vhdl fpga QUARTUSII
下载PDF
基于VHDL的交通管理器设计 被引量:2
13
作者 朱志平 《渭南师范学院学报》 2012年第6期24-28,共5页
通过设计实例,详细说明如何在实际设计中,应用VHDL语言和原理图设计方法来设计复杂的逻辑电路.根据VHDL的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译、优化,利用波形仿真工具,可在短时间内设计出高... 通过设计实例,详细说明如何在实际设计中,应用VHDL语言和原理图设计方法来设计复杂的逻辑电路.根据VHDL的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译、优化,利用波形仿真工具,可在短时间内设计出高效、稳定、符合设计要求的电路,具有传统逻辑设计方法所无法比拟的优越性. 展开更多
关键词 交通管理器 vhdl 控制器 定时器
下载PDF
基于VHDL状态机的交通灯控制器设计 被引量:4
14
作者 李春雨 高原 《山西电子技术》 2010年第1期54-56,共3页
用VHDL语言设计交通灯控制器,并利用Quartus Ⅱ软件平台对设计系统进行仿真、编译,并下载到FPGA/CPLD可编程逻辑器件中。由于生成的是集成化的数字电路,没有传统设计中的接线问题,所以故障率低、可靠性高。由于采用了EDA技术,所以大大... 用VHDL语言设计交通灯控制器,并利用Quartus Ⅱ软件平台对设计系统进行仿真、编译,并下载到FPGA/CPLD可编程逻辑器件中。由于生成的是集成化的数字电路,没有传统设计中的接线问题,所以故障率低、可靠性高。由于采用了EDA技术,所以大大缩短了开发研制周期,提高了设计效率,使系统具有设计灵活,实现简单,性能稳定的特点。 展开更多
关键词 交通灯控制器 fpga vhdl
下载PDF
基于VHDL的交通灯控制与实现 被引量:1
15
作者 龙安国 《信息技术》 2009年第5期114-116,共3页
根据实际路口的交通灯设置与运行规律,基于VHDL硬件描述语言,利用FPGA器件EP1K10TC100-3和自行设计的高亮LED交通灯指示模拟电路板以及数码显示模拟电路板,通过了QuartusⅡ软件的功能仿真和实际调试,体现了EDA技术的设计优越性。
关键词 交通灯 Ⅵ{DL FPCA 计数器
下载PDF
基于VHDL语言的交通灯控制系统设计 被引量:4
16
作者 林建华 《仪器仪表用户》 2011年第3期31-33,共3页
用VHDL语言设计交通灯自动控制系统,并利用QuartusⅡ软件平台对设计系统进行仿真、编译,并下载到目标芯片的开发系统上(选用Cyclone系列EPIC6Q240C8芯片)。由于生成的是集成化的数字电路,没有传统设计中的接线问题,所以故障率低、可靠... 用VHDL语言设计交通灯自动控制系统,并利用QuartusⅡ软件平台对设计系统进行仿真、编译,并下载到目标芯片的开发系统上(选用Cyclone系列EPIC6Q240C8芯片)。由于生成的是集成化的数字电路,没有传统设计中的接线问题,所以故障率低、可靠性高。 展开更多
关键词 vhdl 交通灯控制系统 QuartusⅡ fpga
下载PDF
基于VHDL的两种交通信号控制器的设计与比较 被引量:1
17
作者 曾永西 《自动化技术与应用》 2008年第1期87-90,共4页
文中提出了基于VHDL语言的两种交通信号控制器的设计与比较,分别采用有限状态机和计数器两种设计方法,给出了控制器的部分VHDL代码,利用Quartus II进行全程编译和仿真,并在FPGA器件EP1K30TC144-3上进行硬件下载验证,最后对两种设计进行... 文中提出了基于VHDL语言的两种交通信号控制器的设计与比较,分别采用有限状态机和计数器两种设计方法,给出了控制器的部分VHDL代码,利用Quartus II进行全程编译和仿真,并在FPGA器件EP1K30TC144-3上进行硬件下载验证,最后对两种设计进行比较。结果表明,两种设计方法切实可行。 展开更多
关键词 vhdl语言 电子设计自动化 交通信号控制器 有限状态机
下载PDF
基于VHDL语言的交通信号控制器的设计与实现 被引量:3
18
作者 林涛 《交通与计算机》 2006年第4期72-75,共4页
针对交通信号灯控制器的设计问题,提出了基于VHDL语言的交通信号灯控制器的硬件实现方法。通过对系统进行结构分析,采用层次化的设计方法,给出了控制器的VHDL程序,利用M axP lusⅡ对其程序进行了仿真并给出了仿真结果。
关键词 vhdl语言 层次化设计方法 交通信号灯控制器 仿真
下载PDF
交通系统中信号灯的FPGA实现研究
19
作者 张昭 樊迪 张开生 《陕西科技大学学报(自然科学版)》 2013年第6期141-146,共6页
交通系统中车辆的控制一直是被广泛关注的话题,如何灵活控制信号灯,并且使车辆控制达到最优化的效果,这需要综合运用现代电子技术与通讯技术等手段来实现交通运输.FPGA系统由于其自身的并行结构,有着相对灵活和高速处理数据的优势,本设... 交通系统中车辆的控制一直是被广泛关注的话题,如何灵活控制信号灯,并且使车辆控制达到最优化的效果,这需要综合运用现代电子技术与通讯技术等手段来实现交通运输.FPGA系统由于其自身的并行结构,有着相对灵活和高速处理数据的优势,本设计通过用VHDL硬件电路描述语言编程,完成倒数计时器和状态转换等程序设计,实现对LED灯及7段数码管的直接控制,最后在Quartus II软件平台下进行编译和仿真,并通过Cyclon系列器件模拟实现,解决了使用单片机引脚有限的问题,使FPGA在信号灯控制中发挥了重要作用. 展开更多
关键词 vhdl fpga EDA 交通灯控制 Quartus II
下载PDF
基于FPGA的交通灯控制与实现 被引量:4
20
作者 罗勇 韩晓军 《电子器件》 CAS 2008年第3期976-978,共3页
交通灯控制系统通常需要实现自动控制路口红绿灯的变化,基于FPGA设计的交通灯控制系统具有电路简单、可靠性强、运算速度高的特点。系统通过功能扩展、接口扩展可同时控制多个路口的红绿灯变换,并实现具有根据需要实时快速擦写应用程序... 交通灯控制系统通常需要实现自动控制路口红绿灯的变化,基于FPGA设计的交通灯控制系统具有电路简单、可靠性强、运算速度高的特点。系统通过功能扩展、接口扩展可同时控制多个路口的红绿灯变换,并实现具有根据需要实时快速擦写应用程序的功能。利用Quartus II软件平台对设计系统进行仿真,并通过Cyclone系列EP1C3T1444C8(Mars-EP1C3-SAltera Cyclone FPGA开发板)器件下载模拟实现,结果表明系统能够自动控制交通灯转变。 展开更多
关键词 交通灯控制器 fpga vhdl 模拟仿真
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部