期刊文献+
共找到76篇文章
< 1 2 4 >
每页显示 20 50 100
一种检查层次式有穷状态机一致性的方法 被引量:1
1
作者 蔡持峰 陈伟清 毋国庆 《小型微型计算机系统》 CSCD 北大核心 2000年第12期1324-1326,共3页
本文介绍了一种层次式有穷状态机 (HFSM)的代数表示 ,并在此基础之上提出了 HFSM的一致性检查方法 .这种检查方法主要用于检测
关键词 层次式有穷状态机 一致性 检查 实时控制系统
下载PDF
有限状态机FSM在PLD中的实现分析 被引量:5
2
作者 何永泰 《电子测量技术》 2007年第10期4-6,共3页
随着EDA技术的发展,利用VHDL语言描述有限状态机(FSM),得到广泛应用研究。本文通过举例,利用VHDL语言描述了不同模式的有限状态机,分析了有限状态机在PLD中综合的特点。同时,本文中对不同描述风格的有限状态机在PLDEMP7032中综合的特性... 随着EDA技术的发展,利用VHDL语言描述有限状态机(FSM),得到广泛应用研究。本文通过举例,利用VHDL语言描述了不同模式的有限状态机,分析了有限状态机在PLD中综合的特点。同时,本文中对不同描述风格的有限状态机在PLDEMP7032中综合的特性进行了比较分析。可以看出,不同的模式、不同的描述风格,对有限状态机的特性具有较大的影响。这一结果,为在实际的设计中,对有限状态机的VHDL语言设计的优化提供了有效的参考依据。 展开更多
关键词 有限状态机(fsm) 可编程逻辑器件 VHDL语言
下载PDF
基于VHDL设计有限状态机FSM的方法 被引量:4
3
作者 井新宇 《信息技术与信息化》 2004年第4期29-31,共3页
介绍了有限状态机的特点和设计方法 ,以设计UART为例 ,应用EDA技术 ,基于VHDL语言 ,以FPGA
关键词 VHDL 有限状态机 fsm UART EDA
下载PDF
PROFIBUS-DP主站有穷状态机分析
4
作者 汪文功 《可编程控制器与工厂自动化(PLC FA)》 2010年第7期42-42,44,46,共3页
简要介绍PROF I BUS规范发展现状,给出了本文分析用到的几个PROF I BUS专用名词,最后,向读者提供了PROF I BUS数据链路层有穷状态机的状态转换图及其具体的状态转换分析,其对PROF I BUS主站开发具有极强的参考价值和指导意义。
关键词 PROFIBUS-DP FDL(数据链路层) fsm(有穷状态机)
下载PDF
基于有限状态机FSM的智能锅炉控制器的设计 被引量:2
5
作者 陈改霞 杨亚洲 +1 位作者 吴保宁 李国鹏 《电气传动自动化》 2018年第6期21-25,54,共6页
锅炉控制器的小型化、智能化已经成为锅炉控制系统的发展趋势,采用微型控制器单片机及工业液晶显示代替传统PLC和工控机,是目前锅炉改造的一种新思路。介绍了一种可应用于小型燃煤锅炉控制系统的智能控制器,该控制器采用单片机作为主控... 锅炉控制器的小型化、智能化已经成为锅炉控制系统的发展趋势,采用微型控制器单片机及工业液晶显示代替传统PLC和工控机,是目前锅炉改造的一种新思路。介绍了一种可应用于小型燃煤锅炉控制系统的智能控制器,该控制器采用单片机作为主控制器,结合外围数字芯片及相关电路完成其硬件电路设计,提出一种基于有限状态机(FSM)的程序控制方法完成控制器的软件设计,通过对控制器做详细的实验调试,验证其能够满足小型燃煤锅炉的温度、液位等信息的采集和控制功能,且灵活的人机界面能够实现相关参数的设置和控制。 展开更多
关键词 锅炉控制 有限状态机(fsm) 单片机
下载PDF
System Verilog与Verilog描述状态机(FSM)之比较 被引量:2
6
作者 杨厚一 徐东明 《西安邮电学院学报》 2008年第3期106-110,共5页
由于状态机不仅是一种电路的描述工具,而且也是一种思想方法,因而在电路设计的系统级和RTL级有着广泛的应用。如何编写出高质量、易维护和可复用的RTL级代码,这既对硬件工程师提出了新的挑战,又对硬件描述语言的抽象层次、语义及语法也... 由于状态机不仅是一种电路的描述工具,而且也是一种思想方法,因而在电路设计的系统级和RTL级有着广泛的应用。如何编写出高质量、易维护和可复用的RTL级代码,这既对硬件工程师提出了新的挑战,又对硬件描述语言的抽象层次、语义及语法也提出了更高的要求。本文详细描述了如何使用新的System Verilog来构建FSM的寄存器传输级(RTL)编码技术,并且将现存有效的RTL编码风格与新的增强的System Verilog编码风格进行比较,以显示System Verilog在构建FSM中的优势。 展开更多
关键词 System VERILOG VERILOG 状态机(fsm) 寄存器传输级(RTL) 编码风格(Coding Style)
下载PDF
基于有穷状态机的飞行训练科目识别算法 被引量:1
7
作者 吕胜丽 刘晓东 《现代信息科技》 2020年第17期86-89,共4页
从飞参数据入手,可以对飞行训练质量进行准确评价,对飞行品质进行有效监控。这些都依赖于对飞参数据中动作、科目识别的高效性和准确性。针对飞参数据驱动的飞行训练质量评价中对飞行科目识别的需求,提出了一种基于飞行动作序列特征的... 从飞参数据入手,可以对飞行训练质量进行准确评价,对飞行品质进行有效监控。这些都依赖于对飞参数据中动作、科目识别的高效性和准确性。针对飞参数据驱动的飞行训练质量评价中对飞行科目识别的需求,提出了一种基于飞行动作序列特征的飞行训练科目描述方法和基于特征符号串的飞行训练科目识别算法,具有良好的通用性和适应性。实验数据表明该算法具有较高识别率。 展开更多
关键词 有穷状态机 飞参 科目识别
下载PDF
有限状态机的VHDL描述及综合 被引量:4
8
作者 李春霞 顾新 王君 《计算机工程与应用》 CSCD 北大核心 2005年第6期111-113,共3页
介绍了使用VHDL描述有限状态机的方法,重点分析了综合过程中的竞争和毛刺现象产生的原因,并提供了解决方法。最后以图形学中的直线算法为例实现了可综合的FSM描述,并通过门级仿真验证了其正确性。
关键词 VHDL 有限状态机(fsm) 综合 BRESENHAM算法
下载PDF
FPGA有限状态机模拟I^2C总线设计 被引量:6
9
作者 潘小冬 陈泽祥 +1 位作者 黄自力 高升久 《中国测试技术》 2007年第1期105-107,共3页
以I2C总线协议为根据,用有限状态机(FSM:Finite State Machine)设计了基于FPGA的I2C初始化程序模块。主要内容包括简述I2C总线的特点;介绍用FPGA中FSM开发I2C总线模块时的设计思想和实现过程;给出并解释了部分用Verilog HDL描述I2C总线... 以I2C总线协议为根据,用有限状态机(FSM:Finite State Machine)设计了基于FPGA的I2C初始化程序模块。主要内容包括简述I2C总线的特点;介绍用FPGA中FSM开发I2C总线模块时的设计思想和实现过程;给出并解释了部分用Verilog HDL描述I2C总线初始化SAA7111和SAA7121的程序,最后在QuartusII中进行了I2C总线主从模式下的时序仿真和用其内嵌逻辑软分析仪SignalTapII完成了硬件调试。 展开更多
关键词 I^2C总线 FPGA 有限状态机(fsm) VERILOG HDL 初始化
下载PDF
基于有限状态机的一致性测试模型 被引量:6
10
作者 李小将 杨凡德 《装备指挥技术学院学报》 2003年第1期66-69,共4页
基于有限状态机测试方法是进行协议一致性测试、图形用户界面测试和面向对象测试的重要方法之一.首先给出了用于有限状态机一致性测试的定义和性质,接着讨论了基于有限状态机一致性测试的概念和步骤,最后提出了基于有限状态机及扩展的... 基于有限状态机测试方法是进行协议一致性测试、图形用户界面测试和面向对象测试的重要方法之一.首先给出了用于有限状态机一致性测试的定义和性质,接着讨论了基于有限状态机一致性测试的概念和步骤,最后提出了基于有限状态机及扩展的有限状态机的一致性测试模型. 展开更多
关键词 有限状态机 一致性测试 fsm 面向对象测试 图形用户界面测试
下载PDF
非完全确定有限状态机最小闭覆盖的有效算法 被引量:1
11
作者 李炜 吴建国 刘政怡 《四川大学学报(工程科学版)》 EI CAS CSCD 北大核心 2007年第S1期82-86,共5页
有限状态机(FSM)综合中,状态化简对减少电路的复杂度是非常重要的,由于EDA综合系统的发展和需要,要求能够有效地对较大规模的FSM最小化,对于完全确定的有限状态的已有较好的算法,而非完全确定的有限状态机的最小化的问题一直是个难题。... 有限状态机(FSM)综合中,状态化简对减少电路的复杂度是非常重要的,由于EDA综合系统的发展和需要,要求能够有效地对较大规模的FSM最小化,对于完全确定的有限状态的已有较好的算法,而非完全确定的有限状态机的最小化的问题一直是个难题。利用生成序列、动态构造搜索树、修剪、启发式深度搜索和分支限界等方法,提出了一种有效的求解非完全确定有限状态机的状态最小化算法,减少了搜索的空间,提高求解速度。 展开更多
关键词 有限状态机(fsm) 非完全有限状态机(ISSM) 状态化简
下载PDF
UML状态机模型元素的RSL形式化定义 被引量:4
12
作者 郭艳燕 刘惊雷 《计算机科学》 CSCD 北大核心 2013年第5期177-183,205,共8页
UML状态机作为UML动态描述机制的重要组成部分,在描述系统及模型的动态行为时扮演着重要的角色,但已有的UML动态语义缺乏准确的形式化描述。首先将UML状态机抽象成图;再将图通过传统的有穷自动机进行语义扩展,同时增加状态分层,形成一... UML状态机作为UML动态描述机制的重要组成部分,在描述系统及模型的动态行为时扮演着重要的角色,但已有的UML动态语义缺乏准确的形式化描述。首先将UML状态机抽象成图;再将图通过传统的有穷自动机进行语义扩展,同时增加状态分层,形成一个基于UML状态机的有穷自动机;然后用RAISE规约语言RSL对扩展后的自动机进行形式化定义,使UML状态机中的模型元素的语义更加清晰、精确,为后期的UML状态机的操作语义形式化研究打下基础。 展开更多
关键词 统一建模语言(UML ) 状态机 形式化方法 有穷自动机 RAISE规约语言(RSL)
下载PDF
有限状态机在嵌入式系统中的实现及应用 被引量:8
13
作者 李晓锋 宋锐 曾小宝 《广西轻工业》 2008年第4期38-39,共2页
如何使嵌入式软件代码更加可靠,增强程序的可维护性,一直以来都是嵌入式程序员追求的目标。论述了有限状态机的原理和其实现方法;采用状态机方法编写了一个按键扫描程序,介绍了状态机编程在嵌入式系统中的实际应用和优点。
关键词 有限状态机(fsm) 嵌入式系统 接键扫描
下载PDF
面向独热编码的有限状态机抗单粒子翻转设计 被引量:3
14
作者 王鹏 邓智 范毓洋 《电讯技术》 北大核心 2022年第8期1178-1183,共6页
在空间高能粒子影响下,电路容易发生单粒子翻转,而在电路设计中处于核心地位的有限状态机一旦受到单粒子翻转影响,可能无法进行正常的状态转移,从而导致有限状态机失去数据控制功能。为此,面向独热编码的有限状态机进行了抗单粒子翻转设... 在空间高能粒子影响下,电路容易发生单粒子翻转,而在电路设计中处于核心地位的有限状态机一旦受到单粒子翻转影响,可能无法进行正常的状态转移,从而导致有限状态机失去数据控制功能。为此,面向独热编码的有限状态机进行了抗单粒子翻转设计,并以航空全双工交换以太网中的入队数据总线控制模块作为验证模型,通过故障注入验证了设计方法的正确性。最后对该设计进行了可靠性评估,结果表明相比于传统的三模冗余加固方法,该方法的失效概率降低了两个数量级,此外还能根据实际需求调整纠正位数。相比于编码方式,该方法采用的逻辑更简单,更便于设计人员的开发和使用,具有较强的实用性。 展开更多
关键词 有限状态机(fsm) 独热码 单粒子翻转(SEU) 可靠性评估
下载PDF
基于有限状态机协议分析模型的入侵检测系统 被引量:3
15
作者 邓文达 《自动化技术与应用》 2006年第6期48-50,82,共4页
基于状态协议分析的入侵检测方法利用网络协议提供的状态信息,把网络攻击过程转化为协议状态迁移,能有效地检测DOS/DDOS等较难检测的攻击。本文对协议分析入侵检测的方法和算法进行了研究,并通过对网络协议和入侵攻击的深入分析,提出了... 基于状态协议分析的入侵检测方法利用网络协议提供的状态信息,把网络攻击过程转化为协议状态迁移,能有效地检测DOS/DDOS等较难检测的攻击。本文对协议分析入侵检测的方法和算法进行了研究,并通过对网络协议和入侵攻击的深入分析,提出了一个基于有穷状态机的状态协议迁移模型。在给出检测算法的形式化描述的基础上根据Snort规则语法扩展实现实验系统,并测试验证了其有效性。 展开更多
关键词 入侵检测系统 状态协议分析 有穷状态机 SNORT
下载PDF
基于有限状态机的煤矿风机控制系统设计 被引量:2
16
作者 韩芳 张亚 《煤矿机电》 2014年第3期50-51,55,共3页
为了实现煤矿风机的实时监控,采用有限状态机理论对风机控制系统进行状态分析和工作流程控制。根据风机的运行工况,将其控制回路分为不同的工作状态。将这些工作状态组合成一个具体的有限状态机,实现变频器的控制及状态的转移,从而可以... 为了实现煤矿风机的实时监控,采用有限状态机理论对风机控制系统进行状态分析和工作流程控制。根据风机的运行工况,将其控制回路分为不同的工作状态。将这些工作状态组合成一个具体的有限状态机,实现变频器的控制及状态的转移,从而可以提高风机控制系统的可靠性。 展开更多
关键词 有限状态机(fsm) 风机 变频器 控制系统
下载PDF
利用有限状态机重构XNA游戏 被引量:1
17
作者 刘生建 袁冠远 《科技信息》 2012年第28期286-286,288,共2页
在Windows系统上开发游戏一般要求开发者不仅要掌握C++语言、Windows SDK,还要了解DirectX、人工智能技术等,这往往造成较高的游戏开发门槛。随着微软XNA框架的推出,越来越多的开发者可以快速推出自己的游戏作品,但是正因为这个框架很... 在Windows系统上开发游戏一般要求开发者不仅要掌握C++语言、Windows SDK,还要了解DirectX、人工智能技术等,这往往造成较高的游戏开发门槛。随着微软XNA框架的推出,越来越多的开发者可以快速推出自己的游戏作品,但是正因为这个框架很容易上手,也导致了很多游戏初学者虽然实现了游戏的功能,但是代码的组织较为混乱。本文从实际的教学经验中总结了如何利用有限状态机原理重构XNA游戏的一些思路。 展开更多
关键词 游戏 XNA重构 有限状态机(fsm)
下载PDF
基于有限状态机的串行通信采样数据分析方法
18
作者 杨健康 李红领 +1 位作者 杨新旺 尚世锋 《计算机与数字工程》 2016年第8期1600-1603,1628,共5页
基于物理层波形的串行通信测试方法是通过对物理层波形的采样并分析得到数据链路层数据。根据CAN总线和RS232的协议结构,各数据域的前后相关且状态有限,采用有限状态机对数据流进行扫描分析可实现数据的快速处理。
关键词 有限状态机(fsm) CAN总线 RS232
下载PDF
基于Verilog的顺序状态逻辑FSM的设计与仿真
19
作者 成运 张波云 《微型机与应用》 2004年第6期22-24,38,共4页
以顺序状态逻辑有限状态机的设计为例,简要介绍了用Verilog语言进行集成电路设计的一般过程,并在ModelSim和DC环境下成功地进行了仿真和综合。
关键词 VERILOG 顺序状态逻辑fsm 有限状态机 集成电路设计 顺序状态逻辑 fsm
下载PDF
基于有限状态机的二进制序列信号检测器的设计 被引量:1
20
作者 李建伟 《电子测试》 2016年第8X期4-6,共3页
本文用Verilog HDL语言在Altera公司的现场可编程逻辑器件EP1C6Q240C8上设计了一个8位序列信号检测电路。文中介绍了Verilog HDL状态机设计电路的方法,给出了所设计电路的仿真波形,并最终在可编程逻辑器件EP1C6Q240C8上实现了该电路。
关键词 有限状态机(fsm) 序列信号检测器 VERILOG HDL EP1C6Q240C8
下载PDF
上一页 1 2 4 下一页 到第
使用帮助 返回顶部