期刊文献+
共找到35篇文章
< 1 2 >
每页显示 20 50 100
基于GigE Vision的高速图像采集传输系统设计
1
作者 李昂 赵冬青 +2 位作者 储成群 单彦虎 程洪涛 《舰船电子工程》 2023年第6期116-120,共5页
随着人们对图像质量的要求越来越高,图像数据量大幅增加,传统的数据传输接口在一定程度上难以满足图像数据快速传输的需求,因此需要传输效率更快、性能更稳定的图像采集传输系统。利用千兆以太网高速稳定的传输特性,ZYNQ-7000全可编程系... 随着人们对图像质量的要求越来越高,图像数据量大幅增加,传统的数据传输接口在一定程度上难以满足图像数据快速传输的需求,因此需要传输效率更快、性能更稳定的图像采集传输系统。利用千兆以太网高速稳定的传输特性,ZYNQ-7000全可编程系统ARM+FPGA的组合架构,设计了一款基于GigE Vision的高速图像采集传输系统,实现了图像数据的格式转换、存储和传输,最后通过RGMII接口输出到上位机,并且利用GigE Vision协议的通信方式接收上位机发出的指令,根据指令内容控制摄像头工作。经测试,系统实现了上位机对摄像头的控制功能,图像数据的传输功能,传输速率达到980Mbps,满足高速数据传输的要求且稳定可靠。 展开更多
关键词 FPGA ZYNQ-7000 IP核 图像采集 gige Vision
下载PDF
基于Gige相机的激光告警图像传输系统研究
2
作者 张鹏 杜伟豪 +2 位作者 石金 吴琼 张瑞 《舰船电子工程》 2023年第2期161-165,共5页
针对光栅衍射型激光告警系统对敌军来袭激光进行参数高精度实时计算的要求,论文采用Gige工业相机设计了一种激光告警图像数据高速传输系统。该数据高速传输系统Gnet网络接口将Gige相机采集到的图像数据经FPGA的USB接口电路传输至上位机... 针对光栅衍射型激光告警系统对敌军来袭激光进行参数高精度实时计算的要求,论文采用Gige工业相机设计了一种激光告警图像数据高速传输系统。该数据高速传输系统Gnet网络接口将Gige相机采集到的图像数据经FPGA的USB接口电路传输至上位机;其次设计USB接口电路,将采集到的数据传输至上位机进行图像还原及数据存储;最后,通过C编写的上位机可视化界面对传输来的图像进行实时显示监控。经过验证,Gige相机的数据吞吐量为每秒75MB,且在上位机可以显示完整的光斑图像数据,以此说明该图像传输系统的可靠性及稳定性,并通过保存来的衍射光斑数据为后续的图像处理算法研究提供了理论基础。 展开更多
关键词 激光告警 gige工业相机 数据传输 USB接口电路
下载PDF
对海康GigE相机以Python程序连接方法的 研究
3
作者 张雍斌 李鑫 顾彬 《电子产品世界》 2023年第8期82-85,共4页
由于GigE相机在传输数据方面的特殊性,不同厂家需要自己设计自己的连接端口,这使得各常见提供的连接程序各不相同,同时由于多数情况下工业会采用C或C++程序来编写控制软件,一般厂家对GigE相机连接Python程序的软硬件方法虽然会进行开发... 由于GigE相机在传输数据方面的特殊性,不同厂家需要自己设计自己的连接端口,这使得各常见提供的连接程序各不相同,同时由于多数情况下工业会采用C或C++程序来编写控制软件,一般厂家对GigE相机连接Python程序的软硬件方法虽然会进行开发,但是在实际操作中缺少详细的说明文档以及足够的开发实例。本文介绍了使用海康GigE相机与Python程序进行连接使用的项目经验,可以为同类型的任务提供参考。 展开更多
关键词 gige接口 PYTHON 通信
下载PDF
多GigE相机高速视觉系统的架构与处理速度研究 被引量:15
4
作者 李琪 卢荣胜 +1 位作者 刘宁 俞庆平 《电子测量与仪器学报》 CSCD 2010年第4期371-378,共8页
提出了一种高精度大视场的高速并行处理系统,采用GigE相机并行检测,所有相机排列成线性阵列,通过千兆Ethernet网络与主机连接,并行检测将各单个相机视场合并为一个大视场,在保持单个相机检测分辨率不变的同时扩大检测的范围;并行检测系... 提出了一种高精度大视场的高速并行处理系统,采用GigE相机并行检测,所有相机排列成线性阵列,通过千兆Ethernet网络与主机连接,并行检测将各单个相机视场合并为一个大视场,在保持单个相机检测分辨率不变的同时扩大检测的范围;并行检测系统可根据图像数据量的变化灵活配置每台相机采集的图像由单台或多台计算机进行处理;数据传输上整个系统采用非碰撞的确定延时网络传输处理结果以确保实时性。通过使用网络延迟理论和OPNET仿真验证得到系统处理的延迟时间不大于162.8μs。 展开更多
关键词 机器视觉 gige相机 并行图像处理 千兆以太网
下载PDF
机载GigE Vision高清影像采集记录技术研究 被引量:2
5
作者 张杰 张虎龙 邹强 《现代电子技术》 2013年第10期45-48,共4页
在运八型飞机飞行流场测试课题中为了达到对机体不同部位的丝带进行高清影像采集记录的目的-在试验过程中设计了基于GigE Vision接口标准的多路高清机载视频采集记录方案-在机载测试环境下实现了双路1 080P高清视频的实时采集、传输、... 在运八型飞机飞行流场测试课题中为了达到对机体不同部位的丝带进行高清影像采集记录的目的-在试验过程中设计了基于GigE Vision接口标准的多路高清机载视频采集记录方案-在机载测试环境下实现了双路1 080P高清视频的实时采集、传输、压缩与记录。同时将IRIG-B时间精确同步技术引入高清视频采集系统中-实现了拍摄画面与测试系统的精确同步功能。在此详细介绍了机载视频采集记录系统的主要设计内容以及实现方法-并结合具体飞行试验-对研究成果进行了验证和应用说明。 展开更多
关键词 gige VISION 高清影像 视频采集 飞行试验
下载PDF
GigE Vision接口摄像机及其应用 被引量:5
6
作者 花再军 黄凤辰 陈钊 《微型机与应用》 2011年第21期92-94,共3页
GigE Vision接口技术成为了机器视觉工业的一项非常具有吸引力的技术,Basler scout系列GigE Vision摄像机具有卓越的性能,Basler提供了Pylon驱动包开发包,可方便用户二次开发。将该摄像机应用于10m水位试验台铟钢尺图像获取并在计算机... GigE Vision接口技术成为了机器视觉工业的一项非常具有吸引力的技术,Basler scout系列GigE Vision摄像机具有卓越的性能,Basler提供了Pylon驱动包开发包,可方便用户二次开发。将该摄像机应用于10m水位试验台铟钢尺图像获取并在计算机上显示。 展开更多
关键词 gige VISION 摄像机 PYLON API 图像显示和缩放
下载PDF
XML文件在GigE相机中的应用 被引量:2
7
作者 赵建华 郭奔 《电子设计工程》 2015年第12期22-24,共3页
针对机器视觉行业中由于应用情况复杂而导致的需要频繁修改设备配置的问题,提出了一种使用XML文件配置用户界面接口的方法。使用这种方法,开发者只需要按照相应规范简单地修改XML文本文件,JAI Control内置的XML解析器会根据XML文件自动... 针对机器视觉行业中由于应用情况复杂而导致的需要频繁修改设备配置的问题,提出了一种使用XML文件配置用户界面接口的方法。使用这种方法,开发者只需要按照相应规范简单地修改XML文本文件,JAI Control内置的XML解析器会根据XML文件自动重新生成用户操作界面供用户使用。这种设计思路使整个系统扩展性强、二次开发简单、兼容性强,程序维护和升级也会更加方便。 展开更多
关键词 XML文件 gige VISION 智能相机 机器视觉
下载PDF
车载GigE Vision实时前方车辆防撞预警系统设计 被引量:7
8
作者 马浩越 刘晶郁 杨炜 《中国科技论文》 CAS 北大核心 2018年第10期1120-1126,共7页
为弥补传统车辆防撞预警系统图像采集速率慢、图像分辨率低等不足,本文设计了一种应用于结构化道路环境的车载GigE Vision实时前方车辆防撞预警系统。利用GigE千兆以太网工业相机提供的高分辨率图像,对汽车前方图像进行检测;利用基于单... 为弥补传统车辆防撞预警系统图像采集速率慢、图像分辨率低等不足,本文设计了一种应用于结构化道路环境的车载GigE Vision实时前方车辆防撞预警系统。利用GigE千兆以太网工业相机提供的高分辨率图像,对汽车前方图像进行检测;利用基于单帧静态图像的测距方法实时计算前车与本车的纵向距离及前车车速;通过安全车距预警模型计算报警距离,对车辆行驶状态进行判别。试验结果表明,系统车辆平均检测率为95.02%,检测时间为20.71ms,并能较准确地进行车距测量,实现及时、可靠的防撞预警。 展开更多
关键词 汽车工程 gige VISION 车辆检测 视觉测距 防撞预警
下载PDF
基于Nios Ⅱ和GigE Vision的图像采集系统 被引量:1
9
作者 熊如刚 闫连山 赵明杰 《电子测量技术》 2013年第4期97-100,共4页
借助图像处理技术在工业生产中进行非接触测量和检测的重要前提是实现图像数据实时稳定的采集,为此设计了基于Nios Ⅱ的千兆以太网图像采集系统。提出了采用Altera的FPGA作为控制芯片,通过在FPGA中植入软核处理器Nios Ⅱ以采集千兆以太... 借助图像处理技术在工业生产中进行非接触测量和检测的重要前提是实现图像数据实时稳定的采集,为此设计了基于Nios Ⅱ的千兆以太网图像采集系统。提出了采用Altera的FPGA作为控制芯片,通过在FPGA中植入软核处理器Nios Ⅱ以采集千兆以太网工业相机中的图像数据。详细阐述了该视频采集系统的设计方案,研究了其中的关键技术,实现了以太网的驱动程序,解析了千兆以太网相机标准通信协议GigE Vision。实验结果表明该系统能够对基于GigE Vision的千兆以太网相机进行实时控制,完成对图像数据流的有效采集,为后续的数字图像处理提供了可靠保障。 展开更多
关键词 NIOS 千兆以太网 gige VISION 图像采集
下载PDF
由USB3相机和GigE相机构建的图像采集系统 被引量:1
10
作者 王谣 李彬华 +2 位作者 杨帅明 张益恭 程向明 《天文研究与技术》 CSCD 2019年第4期455-461,共7页
使用不同厂商生产且接口类型不同的高速相机组建一个统一控制的图像采集系统,会大大增加开发的难度。介绍一个由USB3接口CCD相机和GigE接口CCD相机组成的图像采集系统的硬件结构和软件开发方案。硬件方面,相机在外同步触发信号的作用下... 使用不同厂商生产且接口类型不同的高速相机组建一个统一控制的图像采集系统,会大大增加开发的难度。介绍一个由USB3接口CCD相机和GigE接口CCD相机组成的图像采集系统的硬件结构和软件开发方案。硬件方面,相机在外同步触发信号的作用下,由一台图像工作站同时采集两台相机的图像。软件方面,使用不同相机的开发包进行二次开发,系统运行后弹出两台相机的控制与采集界面,采用人机交互方式进行相机配置。两台相机可以独立工作,亦可同步采集。图像采集系统实验表明,系统工作正常,但在工作站完成两台相机单帧图像采集的时间差均值约15 ms。 展开更多
关键词 图像采集 USB3 gige CCD相机 同步
下载PDF
一种基于GigE Vision的多路图像采集系统设计
11
作者 吴远波 黄劼 辛军强 《信息与电脑》 2018年第4期86-87,90,共3页
介绍了一种基于GigE Vision的多路图像采集系统的设计方案。该方案以机器视觉技术为理论基础,选择传输速率高、组网较为容易的千兆以太网作为数据传输方式。多个GigE相机连接千兆以太网交换机和网卡构成千兆以太网络,通过GigE Vision协... 介绍了一种基于GigE Vision的多路图像采集系统的设计方案。该方案以机器视觉技术为理论基础,选择传输速率高、组网较为容易的千兆以太网作为数据传输方式。多个GigE相机连接千兆以太网交换机和网卡构成千兆以太网络,通过GigE Vision协议和基于相机SDK开发的程序实现对相机工作状态的实时控制并完成相关参数的设置,最终实现多路图像的采集、传输、显示和处理。 展开更多
关键词 千兆以太网 gige VISION 多路图像采集
下载PDF
基于GigE Vision和DM6467的高清图像采集系统的设计
12
作者 胡峻 《电子技术与软件工程》 2015年第8期105-106,共2页
高清图像是在进行数字图像处理时处理精度提高的基础。本文在TI公司高性能数字图像处理芯片DM6467的嵌入式系统平台上实现了基于Gig E Vision的高清图像采集系统。本文针对高清图像的大数据的特点进行系统硬件电路的设计,并对Gig E Vis... 高清图像是在进行数字图像处理时处理精度提高的基础。本文在TI公司高性能数字图像处理芯片DM6467的嵌入式系统平台上实现了基于Gig E Vision的高清图像采集系统。本文针对高清图像的大数据的特点进行系统硬件电路的设计,并对Gig E Vision协议进行解析,采用ARM内核配合DMA的方式实现了高清图像的采集。系统表明,本系统具有采集的图像清晰度高,采集图像速度快,集成化,小型化等特点。 展开更多
关键词 嵌入式 高清图像 图像采集 DM6467 gige VISION
下载PDF
基于GigE协议的FPGA网络报文解析电路设计与实现
13
作者 刘睿 姚勇 《电子技术与软件工程》 2018年第10期11-12,共2页
GigE协议是基于UDP的图像传输协议,为了使图像发送设备与局域网内某主机或服务器的应用程序完成信息交互,使得图像能够在局域网内自由传输,本文设计并实现了网络报文解析电路。该电路能够解析得到上位机发送的GVCP报文、获取主机的物理... GigE协议是基于UDP的图像传输协议,为了使图像发送设备与局域网内某主机或服务器的应用程序完成信息交互,使得图像能够在局域网内自由传输,本文设计并实现了网络报文解析电路。该电路能够解析得到上位机发送的GVCP报文、获取主机的物理地址、在局域网内申请IP地址和提供PING服务。基于本文的工作还可以进一步设计出简单易用的局域网通信协议头添加接口模块。 展开更多
关键词 gige协议 FPGA 以太网 报文解析 局域网通信
下载PDF
堡盟:全新GigE相机
14
《今日制造与升级》 2018年第10期67-67,共1页
GigE相机采用Burst模式,以极高的速度采集图像序列或选定的图像区域(ROI)。通过千兆以太网就足以实现高分辨率图像的高速传输,从而降低系统设计成本,提高设计灵活性;如果使用双网口(Dual GigE)相机。
关键词 相机 gige 高分辨率图像 千兆以太网 图像序列 图像区域 设计成本 帧率 图像压缩技术 网口
下载PDF
基于Windows 7系统的GigE工业相机网络接口程序设计 被引量:1
15
作者 郑修勇 古乐野 《计算机应用》 CSCD 北大核心 2014年第A01期38-39,42,共3页
针对千兆网工业相机网络接口技术进行研究,提出了基于Windows 7系统的千兆以太网工业相机网络接口程序的设计思想。通过采用Windows操作系统核心的网络架构,并对网络架构进行改进的方法,实现了千兆以太网工业相机以1000 Mb/s的速度高性... 针对千兆网工业相机网络接口技术进行研究,提出了基于Windows 7系统的千兆以太网工业相机网络接口程序的设计思想。通过采用Windows操作系统核心的网络架构,并对网络架构进行改进的方法,实现了千兆以太网工业相机以1000 Mb/s的速度高性能实时传输图像数据的功能。针对将来万兆网工业相机网络接口技术,给出了新的网络接口技术的发展方向。 展开更多
关键词 网络驱动接口规范6 0 千兆以太网 过滤驱动 千兆以太网视觉 网卡驱动 协议驱动
下载PDF
基于GigE Vision的微光相机研究综述
16
作者 朱粲峰 刘嫩容 《福光技术》 2023年第1期13-17,共5页
微光夜视技术作为一种光电子高新技术,旨在通过捕捉人眼所无法感知的微弱光信息,并进行一系列的转换,增强,处理和显示等物理过程,实现微弱光环境下物体的高质量成像。微光夜视技术在无人驾驶,无人机和现代化武器装备等方面具有广阔应用... 微光夜视技术作为一种光电子高新技术,旨在通过捕捉人眼所无法感知的微弱光信息,并进行一系列的转换,增强,处理和显示等物理过程,实现微弱光环境下物体的高质量成像。微光夜视技术在无人驾驶,无人机和现代化武器装备等方面具有广阔应用前景。本文针对微光相机的关键组成模块进行分析,较系统的阐述了各个组成模块的发展现状和最新进展,对微光相机的未来发展提出了展望。 展开更多
关键词 FPGA CMOS gigeVision 工业相机
原文传递
基于FPGA的机器视觉设计 被引量:2
17
作者 葛李 穆效江 《现代电子技术》 2012年第6期144-146,共3页
为实现系统快速更新,在此设计了一种新的机器视频解决方案,借助FPGA技术,实现视频输入端口与Gige Vi-sion IP的使用以及系统与计算机主机的连接。设计方案中采用了新的Gige Vision标准及Gige Vision IP核,使系统相比其他现有相关标准更... 为实现系统快速更新,在此设计了一种新的机器视频解决方案,借助FPGA技术,实现视频输入端口与Gige Vi-sion IP的使用以及系统与计算机主机的连接。设计方案中采用了新的Gige Vision标准及Gige Vision IP核,使系统相比其他现有相关标准更简单、速度更快,是未来的发展方向。 展开更多
关键词 机器视觉 视频 FPGA gige VISION
下载PDF
基于脉冲耦合神经网络的激光图像处理系统研究 被引量:1
18
作者 曹海 《激光杂志》 北大核心 2015年第10期45-49,共5页
为实现对激光图像的快速高精度处理,提出了一种基于多核DSP和脉冲耦合神经网络(PCNN)的激光图像处理方法。系统采用PCNN算法作为图像处理算法,利用FPGA与多核DSP相结合对激光图像数据进行处理,通过FPGA对图像数据进行预处理,减少了DSP... 为实现对激光图像的快速高精度处理,提出了一种基于多核DSP和脉冲耦合神经网络(PCNN)的激光图像处理方法。系统采用PCNN算法作为图像处理算法,利用FPGA与多核DSP相结合对激光图像数据进行处理,通过FPGA对图像数据进行预处理,减少了DSP的运算量,提高了DSP的处理速度。利用千兆网Gig E模块实现图像处理系统与上位机的互连,能够满足处理结果和控制信息高速传输的要求。实验结果表明:系统实现了对图像轮廓信息和局部精细信息的提取,处理时间在0.3秒之内,能够满足对激光图像的处理要求。 展开更多
关键词 激光图像处理 脉冲耦合神经网络 DSP FPGA gige
下载PDF
千兆级视频流实时处理关键技术研究 被引量:4
19
作者 尹诚 黄小仙 尹达一 《科学技术与工程》 北大核心 2012年第9期2053-2057,2073,共6页
机载遥感系统图像具有数据量巨大、传输速率高、存储时间长等特点。为保证数据传输稳定,解决图像数据传输过程中的丢帧问题,针对目前常见的各种图像数据存储和显示的软硬件实现手段进行分析比较,设计了一种稳定的基于GigEVision协议的... 机载遥感系统图像具有数据量巨大、传输速率高、存储时间长等特点。为保证数据传输稳定,解决图像数据传输过程中的丢帧问题,针对目前常见的各种图像数据存储和显示的软硬件实现手段进行分析比较,设计了一种稳定的基于GigEVision协议的千兆级视频流实时处理系统。重点介绍了系统的软硬件构架和视频处理模块StreamPix的开发途径。实验表明该系统能对视频图像进行实时显示和存储,丢帧率为零,图像回放清晰,效果优良。 展开更多
关键词 千兆级 视频流 StreamPix gige VISION
下载PDF
全自动灯检机异物检测系统设计 被引量:3
20
作者 许明国 张一斌 黎业演 《自动化应用》 2012年第12期70-72,共3页
构建一个基于FPGA、Gige Vidion摄像机和DSP的图像采集与处理平台,并对基于帧间差分的异物检测算法加以改进,优化处理后嵌入DSP图形处理单元,设计一套完整的异物检测系统。
关键词 全自动灯检机 异物检测 图像处理 gige VISION DSP
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部