期刊文献+
共找到186篇文章
< 1 2 10 >
每页显示 20 50 100
Temperature-Triggered Hardware Trojan Based Algebraic Fault Analysis of SKINNY-64-64 Lightweight Block Cipher
1
作者 Lei Zhu Jinyue Gong +1 位作者 Liang Dong Cong Zhang 《Computers, Materials & Continua》 SCIE EI 2023年第6期5521-5537,共17页
SKINNY-64-64 is a lightweight block cipher with a 64-bit block length and key length,and it is mainly used on the Internet of Things(IoT).Currently,faults can be injected into cryptographic devices by attackers in a v... SKINNY-64-64 is a lightweight block cipher with a 64-bit block length and key length,and it is mainly used on the Internet of Things(IoT).Currently,faults can be injected into cryptographic devices by attackers in a variety of ways,but it is still difficult to achieve a precisely located fault attacks at a low cost,whereas a Hardware Trojan(HT)can realize this.Temperature,as a physical quantity incidental to the operation of a cryptographic device,is easily overlooked.In this paper,a temperature-triggered HT(THT)is designed,which,when activated,causes a specific bit of the intermediate state of the SKINNY-64-64 to be flipped.Further,in this paper,a THT-based algebraic fault analysis(THT-AFA)method is proposed.To demonstrate the effectiveness of the method,experiments on algebraic fault analysis(AFA)and THT-AFA have been carried out on SKINNY-64-64.In the THT-AFA for SKINNY-64-64,it is only required to activate the THT 3 times to obtain the master key with a 100%success rate,and the average time for the attack is 64.57 s.However,when performing AFA on this cipher,we provide a relation-ship between the number of different faults and the residual entropy of the key.In comparison,our proposed THT-AFA method has better performance in terms of attack efficiency.To the best of our knowledge,this is the first HT attack on SKINNY-64-64. 展开更多
关键词 SKINNY-64-64 lightweight block cipher algebraic fault analysis hardware trojan residual entropy
下载PDF
A Hardware Trojan Detection Method Based on the Electromagnetic Leakage 被引量:1
2
作者 Lei Zhang Youheng Dong +2 位作者 Jianxin Wang Chaoen Xiao Ding Ding 《China Communications》 SCIE CSCD 2019年第12期100-110,共11页
Hardware Trojan(HT) refers to a special module intentionally implanted into a chip or an electronic system. The module can be exploited by the attacker to achieve destructive functions. Unfortunately the HT is difficu... Hardware Trojan(HT) refers to a special module intentionally implanted into a chip or an electronic system. The module can be exploited by the attacker to achieve destructive functions. Unfortunately the HT is difficult to detecte due to its minimal resource occupation. In order to achieve an accurate detection with high efficiency, a HT detection method based on the electromagnetic leakage of the chip is proposed in this paper. At first, the dimensionality reduction and the feature extraction of the electromagnetic leakage signals in each group(template chip, Trojan-free chip and target chip) were realized by principal component analysis(PCA). Then, the Mahalanobis distances between the template group and the other groups were calculated. Finally, the differences between the Mahalanobis distances and the threshold were compared to determine whether the HT had been implanted into the target chip. In addition, the concept of the HT Detection Quality(HTDQ) was proposed to analyze and compare the performance of different detection methods. Our experiment results indicate that the accuracy of this detection method is 91.93%, and the time consumption is 0.042s in average, which shows a high HTDQ compared with three other methods. 展开更多
关键词 hardware trojan detection side channel analysis electromagnetic leakage principal component analysis Mahalanobis distance detection quality
下载PDF
Preventing Hardware Trojans in Switch Chip Based on Payload Decoupling
3
作者 Ke Song Binghao Yan +2 位作者 Xiangyu Li Qinrang Liu Ling OuYang 《China Communications》 SCIE CSCD 2021年第8期96-108,共13页
Hardware Trojans in integrated circuit chips have the characteristics of being covert,destructive,and difficult to protect,which have seriously endangered the security of the chips themselves and the information syste... Hardware Trojans in integrated circuit chips have the characteristics of being covert,destructive,and difficult to protect,which have seriously endangered the security of the chips themselves and the information systems to which they belong.Existing solutions generally rely on passive detection techniques.In this paper,a hardware Trojans active defense mechanism is designed for network switching chips based on the principle of encryption algorithm.By encoding the data entering the chip,the argot hidden in the data cannot trigger the hardware Trojans that may exist in the chip,so that the chip can work normally even if it is implanted with a hardware Trojans.The proposed method is proved to be effective in preventing hardware Trojans with different trigger characteristics by simulation tests and practical tests on our secure switching chip. 展开更多
关键词 network switching chip active defense hardware trojan payload decoupling
下载PDF
A Customized Authentication Design for Traffic Hijacking Detection on Hardware-Trojan Infected NoCs
4
作者 Mubashir Hussain Hui Guo Sri Parameswaran 《Journal of Computer and Communications》 2018年第1期135-152,共18页
Traffic hijacking is a common attack perpetrated on networked systems, where attackers eavesdrop on user transactions, manipulate packet data, and divert traffic to illegitimate locations. Similar attacks can also be ... Traffic hijacking is a common attack perpetrated on networked systems, where attackers eavesdrop on user transactions, manipulate packet data, and divert traffic to illegitimate locations. Similar attacks can also be unleashed in a NoC (Network on Chip) based system where the NoC comes from a third-party vendor and can be engrafted with hardware Trojans. Unlike the attackers on a traditional network, those Trojans are usually small and have limited capacity. This paper targets such a hardware Trojan;Specifically, the Trojan aims to divert traffic packets to unauthorized locations on the NoC. To detect this kind of traffic hijacking, we propose an authentication scheme in which the source and destination addresses are tagged. We develop a custom design for the packet tagging and authentication such that the implementation costs can be greatly reduced. Our experiments on a set of applications show that on average the detection circuitry incurs about 3.37% overhead in area, 2.61% in power, and 0.097% in performance when compared to the baseline design. 展开更多
关键词 Packet HIJACKING DETECTION hardware trojan NETWORK-ON-CHIP
下载PDF
Efficient Activation Method of Hardware Trojan Based on Greedy Algorithm
5
作者 Yingjian Yan Xin Chuan 《Journal of Beijing Institute of Technology》 EI CAS 2018年第2期230-236,共7页
To generate test vector sets that can efficiently activate hardware Trojans and improve probability of the hardware Trojan activation,an efficient hardware Trojan activation method is proposed based on greedy algorith... To generate test vector sets that can efficiently activate hardware Trojans and improve probability of the hardware Trojan activation,an efficient hardware Trojan activation method is proposed based on greedy algorithm for combinatorial hardware Trojans. Based on the greedy algorithm and the recursive construction method in the combination test,the method formulates appropriate and useful greedy strategy and generates test vector sets with different combinatorial correlation coefficients to activate hardware Trojans in target circuits. The experiment was carried out based on advanced encryption standard( AES) hardware encryption circuit,different combinatorial hardware Trojans were implanted in AES as target circuits,the experiment of detecting hardware Trojans in target circuits was performed by applying the proposed method and different combinatorial hardware Trojans in target circuits were activated successfully many times in the experiment. The experimental results showthat the test vector sets generated using the proposed method could effectively activate combinatorial hardware Trojans,improve the probability of the hardware Trojan being activated,and also be applied to practice. 展开更多
关键词 hardware trojan logic detection combinatorial correlation coefficient test vectors
下载PDF
基于安全风险的RTL级硬件木马验证研究
6
作者 赵剑锋 史岗 《信息安全学报》 CSCD 2024年第1期111-122,共12页
信息时代使得信息安全变得日益重要。攻击方为了获取想要的信息,除了使用软件方面的手段,如病毒、蠕虫、软件木马等,也使用硬件手段来威胁设备、系统和数据的安全,如在芯片中植入硬件木马等。如果将硬件木马植入信息处理的核心--处理器... 信息时代使得信息安全变得日益重要。攻击方为了获取想要的信息,除了使用软件方面的手段,如病毒、蠕虫、软件木马等,也使用硬件手段来威胁设备、系统和数据的安全,如在芯片中植入硬件木马等。如果将硬件木马植入信息处理的核心--处理器,那将风险更高、危害更大。然而,硬件木马位于信息系统底层核心的层面,难以被检测和发现出来。硬件木马是国内外学术界研究的热点课题,尤其是在设计阶段结合源代码的硬件木马检测问题,是新问题,也是有实际需要的问题。在上述背景下,围绕源代码中硬件木马的检测和验证展开了研究。基于硬件木马危害结果属性,在学术上提出基于安全风险的模型和验证规则,给出相应的描述形式,从理论上说明安全验证规则在减少验证盲目性、缩小可疑代码范围、提高评估效率的作用,实验表明,基于安全风险规则的验证,可以避免验证的盲目性和测试空间向量膨胀的问题,有效验证疑似硬件木马的存在和危害,对源代码安全评估是有一定效果的。 展开更多
关键词 芯片 RTL级硬件木马 安全风险 验证规则
下载PDF
基于可控制性度量的图神经网络门级硬件木马检测方法
7
作者 张洋 刘畅 李少青 《计算机工程》 CAS CSCD 北大核心 2024年第7期164-173,共10页
随着全球化的不断深入,第三方知识产权(IP)核应用越来越广泛。随着硬件木马攻击技术逐渐成熟,使得在芯片设计阶段植入硬件木马成为可能。因此,在芯片设计过程中面临IP核被植入木马的严重威胁,现有研究所提的硬件木马检测方法具有依赖黄... 随着全球化的不断深入,第三方知识产权(IP)核应用越来越广泛。随着硬件木马攻击技术逐渐成熟,使得在芯片设计阶段植入硬件木马成为可能。因此,在芯片设计过程中面临IP核被植入木马的严重威胁,现有研究所提的硬件木马检测方法具有依赖黄金参考电路、需要完备的测试向量、大量的样本进行学习等特征。面向IP核的硬件木马检测需求,提出一种基于可控制性度量的图神经网络检测方法。该方法以门级网表作为输入,首先以可控制性值为指导,得到可疑的门节点,用于缩小搜索范围;然后利用可疑门节点生成对应的子图,利用图卷积神经网络从子图中提取特征,实现对子图的分类和检测,最终识别硬件木马。实验结果表明,该方法无须测试激励和黄金模型,利用硬件木马的隐蔽特性与结构特征相结合的方法提升硬件木马的检测准确率,平均真阳率为100%,假阳率为0.75%,在保证较高真阳率的同时可有效降低假阳率,达到较好的检测效果。 展开更多
关键词 知识产权核 硬件木马 可控制性度量 子图 图卷积神经网络
下载PDF
基于灰度图谱分析的IP软核硬件木马检测方法
8
作者 倪林 刘子辉 +2 位作者 张帅 韩久江 鲜明 《计算机工程》 CAS CSCD 北大核心 2024年第3期44-51,共8页
随着芯片设计、制造、封装等流程的分工细化,利用第三方知识产权(IP)软核进行二次开发可以明显提升设计效率,减少重复工作。但是大量非自主可控IP软核被用于加速设计时,可能导致芯片在设计阶段被植入硬件木马,使得芯片安全性难以保证。... 随着芯片设计、制造、封装等流程的分工细化,利用第三方知识产权(IP)软核进行二次开发可以明显提升设计效率,减少重复工作。但是大量非自主可控IP软核被用于加速设计时,可能导致芯片在设计阶段被植入硬件木马,使得芯片安全性难以保证。当前IP软核安全检测方法主要依赖功能测试、代码覆盖率和翻转率分析,或在语义层面进行关键字匹配,且无法对加密IP软核进行检测。在分析硬件木马结构及其在IP软核中实现特征的基础上,利用非可控IP软核与“Golden”IP软核中寄存器传输级(RTL)代码灰度图谱的特征差异,基于Trust-Hub构建“Golden”软核集,提出基于灰度图谱特征的IP软核硬件木马检测模型和算法。以功能篡改型IP软核B19-T100为实验对象,通过调整合适的成像矩阵参数,利用分块匹配对比方式实现硬件木马检测,结果表明,该算法的检测精度达97.18%。在对B19、B15、S38417等5类共18个样本进行测试时,所提算法的平均检测精度达92%以上,表明其可实现对硬件木马的有效识别,检测精度和适用性较强。 展开更多
关键词 知识产权软核 硬件木马 灰度图谱 芯片安全 特征差异
下载PDF
基于路径延迟故障序列的硬件木马检测方法
9
作者 伍远翔 唐明 +1 位作者 胡一凡 张吉良 《计算机工程与设计》 北大核心 2024年第1期1-9,共9页
针对基于侧信道信号的硬件木马检测普遍面临的两类问题,即需要黄金芯片和信号测量成本大,提出一种利用路径延迟故障序列的检测方法。基于时序冲突时电路路径产生延迟故障的先后顺序,间接利用路径延迟之间相对大小关系产生芯片检测ID;检... 针对基于侧信道信号的硬件木马检测普遍面临的两类问题,即需要黄金芯片和信号测量成本大,提出一种利用路径延迟故障序列的检测方法。基于时序冲突时电路路径产生延迟故障的先后顺序,间接利用路径延迟之间相对大小关系产生芯片检测ID;检测不依赖于黄金芯片,且在大范围的环境变化和工艺偏差下具有稳定性;故障序列在芯片设计阶段仿真获得,无需额外硬件开销。对ISCAS-89基准和AES-128电路实例验证了检测的有效性,其可成功检测插入的两种类型硬件木马。 展开更多
关键词 集成电路 硬件木马 检测方法 侧信道 黄金芯片 路径延迟 故障输出
下载PDF
测量互联网环境的单向延迟及不对称性研究
10
作者 吴承治 《现代传输》 2024年第1期56-63,共8页
NTP协议(Network Time Protocol)的出现就是为了解决网络内设备系统时钟的同步问题。不幸的是,在通常的互联网环境中,数据传输的延迟不是恒定的,即使相同的路由,从NTP服务器到NTP客户端延迟与从NTP客户端到NTP服务器延迟,即单向延迟(OWD... NTP协议(Network Time Protocol)的出现就是为了解决网络内设备系统时钟的同步问题。不幸的是,在通常的互联网环境中,数据传输的延迟不是恒定的,即使相同的路由,从NTP服务器到NTP客户端延迟与从NTP客户端到NTP服务器延迟,即单向延迟(OWD)不总是相同的。这对时间同步的准确性有很大的影响。目前广泛应用的PTP也同样存在这个问题。因此,为了提高时间的准确性,需要通过测量,提供有关实际传输OWD的时间分布和OWD的不对称性的研究。 展开更多
关键词 网络时间协议 单向延迟 硬件时间戳 单向延迟不对称性
下载PDF
基于K-Means聚类和集成学习的HTD仿真 被引量:1
11
作者 芦德钊 伍忠东 王鹏程 《计算机仿真》 北大核心 2021年第9期476-480,共5页
为了实时检测信息系统中是否存在硬件木马,提出基于K-Means聚类和集成学习的硬件木马检测方法。采用基于信息熵改进的K-means动态聚类算法去除冗余数据,挖掘信息系统硬件运行的有效数据;在该数据中采用基于改进旋转森林的集成学习方法... 为了实时检测信息系统中是否存在硬件木马,提出基于K-Means聚类和集成学习的硬件木马检测方法。采用基于信息熵改进的K-means动态聚类算法去除冗余数据,挖掘信息系统硬件运行的有效数据;在该数据中采用基于改进旋转森林的集成学习方法建立识别硬件木马的文本分类器,引入动态加权投票集成方法,检测出硬件木马。仿真结果显示,所提方法硬件木马数据检测率高达99%,误报率最大值仅为3%;可以实时检测出硬件木马,不存在时延。和同类检测方法相比,所提方法对硬件木马的检测精度、检测实时性存在优越性。 展开更多
关键词 集成学习 硬件木马检测 信息熵 改进旋转森林
下载PDF
基于路径特征和支持向量机算法的硬件木马检测技术 被引量:3
12
作者 冯燕 陈岚 《电子与信息学报》 EI CSCD 北大核心 2023年第6期1921-1932,共12页
硬件木马攻击成为当前集成电路(IC)面临的严重威胁。针对硬件木马电路具有隐蔽、不易触发以及数据集不均衡等特点,该文提出对门级网表进行静态分析的硬件木马检测技术。基于电路可测性原理建立涵盖节点扇入数、逻辑门距离、路径数、节... 硬件木马攻击成为当前集成电路(IC)面临的严重威胁。针对硬件木马电路具有隐蔽、不易触发以及数据集不均衡等特点,该文提出对门级网表进行静态分析的硬件木马检测技术。基于电路可测性原理建立涵盖节点扇入数、逻辑门距离、路径数、节点扇出数的硬件木马路径特征,简化特征分析流程;基于提取的路径特征,使用支持向量机(SVM)算法区分电路中的木马节点和正常节点。提出训练集双重加权技术,解决数据集不均衡问题,提升分类器的性能。实验结果表明,分类器可以用于电路中的可疑节点检测,准确率(ACC)达到99.85%;训练集静态加权有效提升分类器性能,准确率(ACC)提升5.58%;与现有文献相比,以36%的特征量,真阳性率(TPR)降低1.07%,真阴性率(TNR)提升2.74%,准确率(ACC)提升2.92%。该文验证了路径特征和SVM算法在硬件木马检测中的有效性,明确了数据集均衡性与检测性能的关系。 展开更多
关键词 硬件木马 路径特征 支持向量机 静态加权
下载PDF
基于图神经网络的门级硬件木马检测方法
13
作者 史江义 温聪 +4 位作者 刘鸿瑾 王泽坤 张绍林 马佩军 李康 《电子与信息学报》 EI CSCD 北大核心 2023年第9期3253-3262,共10页
集成电路(IC)供应链的全球化已经将大多数设计、制造和测试过程从单一的可信实体转移到世界各处各种不可信的第三方实体。使用不可信的第三方知识产权(3PIP)可能面临着设计被对手植入硬件特洛伊木马(HTs)的巨大风险。这些硬件木马可能... 集成电路(IC)供应链的全球化已经将大多数设计、制造和测试过程从单一的可信实体转移到世界各处各种不可信的第三方实体。使用不可信的第三方知识产权(3PIP)可能面临着设计被对手植入硬件特洛伊木马(HTs)的巨大风险。这些硬件木马可能会使原有设计出现性能降低、信息泄露甚至发生物理层面不可逆的破坏,严重危害消费者的隐私、安全和公司的信誉。现有文献中提出的多种硬件木马检测方法,具有以下缺陷:对黄金参考电路的依赖、测试向量覆盖率的要求甚至是手动代码审查的需要,同时随着集成电路规模的增大,低触发率的硬件木马更加难以被检测。因此针对上述问题,该文提出一种基于图神经网络硬件木马的检测方法,在无需黄金参考电路以及逻辑测试的情况下实现了对门级硬件木马的检测。该方法利用图采样聚合算法(GraphSAGE)学习门级网表中的高维图特征以及相应节点特征,并采用有监督学习进行检测模型的训练。该方法探索了不同聚合方式以及数据平衡方法下的模型的检测能力。该模型在信任库(Trust-Hub)中基于新思90 nm通用库(SAED)的基准训练集的评估下,实现了92.9%的平均召回率以及86.2%的平均F1分数(平均聚合,权重平衡),相比目前最先进的学习模型F1分数提高了8.4%。而应用于基于系统250 nm库(LEDA)的数据量更大的数据集时,分别在组合逻辑类型硬件木马检测中获得平均83.6%的召回率、70.8%的F1,在时序逻辑类型硬件木马检测工作中获得平均95.0%的召回率以及92.8%的F1分数。 展开更多
关键词 硬件木马检测 深度学习 门级网表 图神经网络
下载PDF
基于多分类器投票的变电站自动化系统硬件木马检测方案
14
作者 张少敏 张明哲 王保义 《电力科学与工程》 2023年第8期33-40,共8页
针对IEC 61850标准下变电站自动化系统所面临的硬件木马攻击风险,提出了一种基于多分类器投票的硬件木马检测方案。该方案能有效检测该系统中常用通信接口RS232存在的各类型硬件木马。相比于其他基于机器学习的硬件木马检测方法,方案通... 针对IEC 61850标准下变电站自动化系统所面临的硬件木马攻击风险,提出了一种基于多分类器投票的硬件木马检测方案。该方案能有效检测该系统中常用通信接口RS232存在的各类型硬件木马。相比于其他基于机器学习的硬件木马检测方法,方案通过主成分分析算法对旁路特征数据进行降维和去噪处理,解决了处理高维度旁路特征样本时的计算开销高和数据冗余问题。此外,本方案结合网格搜索和K折交叉验证算法优化个体分类器参数,并通过投票机制将这些个体分类器集成为改进的多分类器投票模型,以进一步提高模型检测硬件木马的准确率。对比实验结果证明,该方案在检测不同类别的硬件木马时具有高效率和高准确率。 展开更多
关键词 变电站自动化系统 硬件木马 硬件设备安全 多分类器投票 旁路分析
下载PDF
基于级联结构特征的硬件木马检测方法 被引量:1
15
作者 陈嘉伟 刘鸿瑾 +6 位作者 张绍林 李宾 李康 温聪 周游 潘伟涛 史江义 《微电子学》 CAS 北大核心 2023年第1期164-169,共6页
针对基于静态结构特征的机器学习方法对门级硬件木马检测结果检测率不高的问题,提出了一种基于级联结构特征的硬件木马检测方法。利用共现矩阵进行特征构建,并使用多对多结构的堆叠式长短期记忆网络(Long Short-Term Memory,LSTM)进行... 针对基于静态结构特征的机器学习方法对门级硬件木马检测结果检测率不高的问题,提出了一种基于级联结构特征的硬件木马检测方法。利用共现矩阵进行特征构建,并使用多对多结构的堆叠式长短期记忆网络(Long Short-Term Memory,LSTM)进行木马特征的训练与识别。实验结果表明,该方法在Trusthub的15个基准网表中获得了93.1%的平均真阳性率(TPR)、99.0%的平均真阴性率(TNR)和79.3%的F1-score。实验结果优于现有方法。 展开更多
关键词 硬件木马 长短期记忆 硬件木马检测 门级网表
下载PDF
用于检测硬件木马延时的线性判别分析算法 被引量:2
16
作者 宋钛 黄正峰 徐辉 《电子与信息学报》 EI CSCD 北大核心 2023年第1期59-67,共9页
针对芯片生产链长、安全性差、可靠性低,导致硬件木马防不胜防的问题,该文提出一种针对旁路信号分析的木马检测方法。首先采集不同电压下电路的延时信号,通过线性判别分析(LDA)分类算法找出延时差异,若延时与干净电路相同,则判定为干净... 针对芯片生产链长、安全性差、可靠性低,导致硬件木马防不胜防的问题,该文提出一种针对旁路信号分析的木马检测方法。首先采集不同电压下电路的延时信号,通过线性判别分析(LDA)分类算法找出延时差异,若延时与干净电路相同,则判定为干净电路,否则判定有木马。然后联合多项式回归算法对木马延时特征进行拟合,基于回归函数建立木马特征库,最终实现硬件木马的准确识别。实验结果表明,提出的LDA联合线性回归(LR)算法可以根据延时特征识别木马电路,其木马检测率优于其他木马检测方法。更有利的是,随着电路规模的增大意味着数据量的增加,这更便于进行数据分析与特征提取,降低了木马检测难度。通过该方法的研究,对未来工艺极限下识别木马电路、提高芯片安全性与可靠性具有重要的指导作用。 展开更多
关键词 硬件木马 关键路径 关键结点 机器学习 线性判别分析
下载PDF
RTL级硬件木马问题研究 被引量:1
17
作者 赵剑锋 史岗 《信息安全学报》 CSCD 2023年第4期139-152,共14页
信息时代使得信息安全变得日益重要。信息安全可以分为两类:软件安全和硬件安全。攻击方为了获取想要的信息,除了使用软件方面的手段,如病毒、蠕虫、软件木马等,同样也使用硬件手段来威胁设备、系统和数据的安全,如在芯片中植入硬件木... 信息时代使得信息安全变得日益重要。信息安全可以分为两类:软件安全和硬件安全。攻击方为了获取想要的信息,除了使用软件方面的手段,如病毒、蠕虫、软件木马等,同样也使用硬件手段来威胁设备、系统和数据的安全,如在芯片中植入硬件木马等。如果将硬件木马植入信息处理的核心——处理器,那将风险更高、危害更大。然而,硬件木马位于信息系统底层核心的层面,难以被检测和发现出来。硬件木马是国内外学术界研究的热点课题,尤其是在设计阶段结合源代码的硬件木马检测问题,是新问题,也是有实际需要的问题。在上述背景并结合国内对芯片RTL源代码安全风险评估的实际需求展开了相关工作,围绕RTL源代码中硬件木马的问题展开了研究。主要贡献:针对RTL级硬件木马尚未在学术上给出一般属性的问题,给出硬件木马的属性描述形式,在形成硬件木马属性的基础上,以模块端口信号为源,提出了一种基于信号流向的多叉树分层递归搜索方法,实验结果表明,该方法对于依附在端口上的硬件木马的检测是有效的。 展开更多
关键词 芯片 RTL级硬件木马 属性描述 搜索方法
下载PDF
基于木马特征风险敏感的硬件木马检测方法 被引量:1
18
作者 李林源 徐金甫 +1 位作者 严迎建 刘燕江 《电子技术应用》 2023年第6期35-43,共9页
针对现有硬件木马检测方法中存在的木马检出率偏低问题,提出一种基于木马特征风险敏感的门级硬件木马检测方法。通过分析木马电路的结构特征和信号特征,构建11维硬件木马特征向量;提出了基于BorderlineSMOTE的硬件木马特征扩展算法,有... 针对现有硬件木马检测方法中存在的木马检出率偏低问题,提出一种基于木马特征风险敏感的门级硬件木马检测方法。通过分析木马电路的结构特征和信号特征,构建11维硬件木马特征向量;提出了基于BorderlineSMOTE的硬件木马特征扩展算法,有效扩充了训练数据集中的木马样本信息;基于PSO智能寻优算法优化SVM模型参数,建立了木马特征风险敏感分类模型。该方法基于Trust-Hub木马库中的17个基准电路展开实验验证,其中16个基准电路的平均真阳率(TPR)达到100%,平均真阴率(TNR)高达99.04%,与现有的其他检测方法相比,大幅提升了硬件木马检出率。 展开更多
关键词 硬件木马检测 风险敏感 PSO SVM分类模型
下载PDF
基于PSO-SVM的硬件木马检测 被引量:1
19
作者 李莉云 伍忠东 芦德钊 《计算机应用与软件》 北大核心 2023年第6期310-314,336,共6页
针对基于门级网表的硬件木马识别方法中硬件木马识别率低且识别效果不稳定的问题,提出一种基于粒子群优化支持向量机(Particle Swarm Optimization-Support Vector Machine,POS-SVM)算法的硬件木马检测方法。对电路的门级网表特征分析... 针对基于门级网表的硬件木马识别方法中硬件木马识别率低且识别效果不稳定的问题,提出一种基于粒子群优化支持向量机(Particle Swarm Optimization-Support Vector Machine,POS-SVM)算法的硬件木马检测方法。对电路的门级网表特征分析提取出7维特征,利用SMOTE算法对数据进行预处理,改善数据集类别不平衡问题,使用该特征训练支持向量机分类器,用粒子群算法对支持向量机的参数优化以获得较高的识别准确率,利用该分类器达到识别硬件木马的目的。实验结果表明,该算法提高了硬件木马的识别率,实现99.47%线网识别准确率。 展开更多
关键词 硬件木马 门级网表 支持向量机 SMOTE算法 粒子群算法
下载PDF
一种基于静态分析的多视图硬件木马检测方法
20
作者 陈星任 熊焰 +1 位作者 黄文超 付贵禄 《信息网络安全》 CSCD 北大核心 2023年第10期48-57,共10页
随着集成电路产业的全球化,大部分设计、制造和测试过程已经转移到了世界各地不受信任的第三方实体,这样可能存在攻击者在硬件设计中插入有恶意行为电路的风险,即硬件木马。在早期发现硬件木马至关重要,若在设计后期或制造后再想移除它... 随着集成电路产业的全球化,大部分设计、制造和测试过程已经转移到了世界各地不受信任的第三方实体,这样可能存在攻击者在硬件设计中插入有恶意行为电路的风险,即硬件木马。在早期发现硬件木马至关重要,若在设计后期或制造后再想移除它将开销很大。文章提出一种基于静态分析的多视图硬件木马检测方法,首先通过分析Verilog代码得出变量数据依赖图和变量控制依赖图,从多个视角深度挖掘硬件设计的语义信息;然后通过多视图表示目标硬件设计不同视角下的行为表示向量;最后利用多视图融合方法进行协同融合,将得出的表示向量送入分类器中,从而检测Verilog代码是否被插入了硬件木马。实验结果表明,文章所提的检测方法在不依赖设计规范和不局限于模式库的情况下,实现了对硬件木马精确且全面的检测以及对Verilog代码的全自动分析。 展开更多
关键词 硬件木马检测 多视图融合 图表示学习 静态分析
下载PDF
上一页 1 2 10 下一页 到第
使用帮助 返回顶部