期刊文献+
共找到22篇文章
< 1 2 >
每页显示 20 50 100
基于FPGA的千兆以太网端口通信设计 被引量:2
1
作者 兰唯 韩延喆 扈啸 《电子科技》 2024年第1期48-54,共7页
针对嵌入式领域中以太网传输速率以及实时性问题,文中提出了一种基于FPGA(Field Programmable Gate Array)的千兆以太网端口通信的设计方案。设计了千兆以太网交换机的转发功能,基于标签转发实现了端对端数据通信。CPU(Central Processi... 针对嵌入式领域中以太网传输速率以及实时性问题,文中提出了一种基于FPGA(Field Programmable Gate Array)的千兆以太网端口通信的设计方案。设计了千兆以太网交换机的转发功能,基于标签转发实现了端对端数据通信。CPU(Central Processing Unit)发出带有标签的数据报文,通过千兆网口输出数据报文,经过RGMII(Reduced Gigabit Media Independent Interface)接口将带标签的数据报文发送给FPGA,FPGA通过内部逻辑判断标签中的输出端口号域并去除标签,从相应千兆网口向连接设备输出数据报文。外设通过千兆网端口输入数据报文,通过SGMII(Serial Gigabit Media Independent Interface)协议将数据报文发送给FPGA,FPGA通过内部逻辑添加标签并轮询输出给CPU,从而实现多个千兆网口连接设备互通。实验结果验证了FPGA逻辑的可行性和有效性,传输速率达到1 Gbit·s-1,报文转发延时小于100μs,报文丢包率为0%,数据传输稳定性较高,满足现有项目的实际需求。 展开更多
关键词 fpga 千兆以太网 以太网交换机 标签 CPU 数据报文 RGMII接口 SGMII协议
下载PDF
基于FPGA的万兆网的IPsec ESP协议设计与实现 被引量:6
2
作者 刘振钧 李治辉 林山 《通信技术》 2015年第2期242-246,共5页
"Internet协议安全性(IPsec)"为IP层及其上层协议提供加解密和认证等安全服务。但对IPsec协议的处理已经成为高速网络实现的瓶颈。随着FPGA向着更大容量和更高速度方向发展,基于FPGA硬件实现的IPsec协议栈可以提供更高的网络... "Internet协议安全性(IPsec)"为IP层及其上层协议提供加解密和认证等安全服务。但对IPsec协议的处理已经成为高速网络实现的瓶颈。随着FPGA向着更大容量和更高速度方向发展,基于FPGA硬件实现的IPsec协议栈可以提供更高的网络性能。文中介绍了一种基于FPGA的万兆以太网IPsec ESP协议栈的设计,支持隧道模式和传输模式,具有抗重放能力。通过采用多级流水操作、多缓存乒乓操作、多进程并行处理等技术实现了万兆线速。 展开更多
关键词 fpga 万兆以太网 ipsec ESP协议
下载PDF
基于高性能FPGA芯片的千兆网IPSec协议模块 被引量:2
3
作者 周轶男 李曦 《计算机工程与应用》 CSCD 北大核心 2005年第19期162-165,共4页
当前,Internet的应用已经从最初的学术交流目的越来越多地演变为商业行为,其安全性需求日益增加。IPSec协议被广泛地应用于防火墙和安全网关中,但对IPSec协议的处理会大大增加网关的负载,成为千兆网实现的瓶颈。该文提出了一种基于高性... 当前,Internet的应用已经从最初的学术交流目的越来越多地演变为商业行为,其安全性需求日益增加。IPSec协议被广泛地应用于防火墙和安全网关中,但对IPSec协议的处理会大大增加网关的负载,成为千兆网实现的瓶颈。该文提出了一种基于高性能FPGA实现千兆IPSec协议的设计构想,并通过模拟试验证实了该设计方案的可行性和正确性,为进一步的物理实现奠定了基础。 展开更多
关键词 ipsec协议 可编程门阵列 千兆网
下载PDF
基于FPGA的多通路SRIO数据传输设计 被引量:3
4
作者 任勇峰 多卉枫 武慧军 《电子测量技术》 北大核心 2022年第14期152-156,共5页
为满足航天遥测系统中多路高速数据可靠传输的需求,提出了一种基于FPGA控制器和Serial RapidIO(SRIO)协议的四通路数据传输设计方案。设计使用Xilinx A7系列FPGA,并使用4个其内部集成的SRIO IP核,设计内部逻辑,实现四路SRIO高速数据传输... 为满足航天遥测系统中多路高速数据可靠传输的需求,提出了一种基于FPGA控制器和Serial RapidIO(SRIO)协议的四通路数据传输设计方案。设计使用Xilinx A7系列FPGA,并使用4个其内部集成的SRIO IP核,设计内部逻辑,实现四路SRIO高速数据传输;使用其内部集成的吉比特收发器(GTP)以满足SRIO传输协议物理层要求。硬件电路使用4个高速收发光模块完成光电转换;并使用高质量时钟芯片产生125 MHz的差分时钟信号作为SRIO IP核的参考时钟。经测试验证四路数据传输速率可达440 MB/s,且无丢帧、误码现象,该设计已成功运用于遥测系统某地面测试台项目,可实现四路高速数据稳定传输。 展开更多
关键词 可编程逻辑器件(fpga) Serial RapidIO协议 SRIO IP核 吉比特收发器 共享逻辑
下载PDF
基于FPGA的千兆以太网协议分析技术
5
作者 王安意 《电子质量》 2011年第11期8-11,共4页
该文主要阐述在FPGA(Field-Programmable Gate Array)内千兆以太网协议数据流帧的生成、编码、组帧、解帧及协议帧分析,详细地阐述了BCM5421和FPGA组合的硬件设计技术、协议发生的FPGA设计技术、协议解码、过滤、性能分析的FPGA设计技... 该文主要阐述在FPGA(Field-Programmable Gate Array)内千兆以太网协议数据流帧的生成、编码、组帧、解帧及协议帧分析,详细地阐述了BCM5421和FPGA组合的硬件设计技术、协议发生的FPGA设计技术、协议解码、过滤、性能分析的FPGA设计技术等关键技术的实现途径。 展开更多
关键词 千兆以太网 fpga(Field-Programmable GATE Array) TCP/IP
下载PDF
千兆UDP/IP协议栈FPGA实现及其在高速成像系统中的应用 被引量:20
6
作者 郑天宇 尹达一 《现代电子技术》 北大核心 2018年第18期1-5,11,共6页
在FPGA中设计并实现了传输速率为1 000 Mbit/s的以太网UDP/IP协议栈,并将其应用在图像采集系统完成图像传输。UDP/IP协议栈分为MAC层和UDP层,MAC层完成基本以太网帧的收发,UDP层完成顶层用户数据封装。协议栈完全兼容IEEE 802.3ab千兆... 在FPGA中设计并实现了传输速率为1 000 Mbit/s的以太网UDP/IP协议栈,并将其应用在图像采集系统完成图像传输。UDP/IP协议栈分为MAC层和UDP层,MAC层完成基本以太网帧的收发,UDP层完成顶层用户数据封装。协议栈完全兼容IEEE 802.3ab千兆以太网标准,具有显式流量控制功能,解决了FPGA千兆以太网硬核媒体访问控制器移植弹性低,资源消耗大的难题。仿真与实验结果表明,协议栈资源占用率低,用户端数据率可达964 Mbit/s,在帧长度为1 472时丢包率为0%,对于1 000×1 000(pixel)面阵CMOS探测器帧频可达48 f/s,适用于嵌入式高速图像采集系统。 展开更多
关键词 千兆以太网 UDP/IP协议栈 流量控制 图像采集 fpga 高速成像
下载PDF
基于FPGA的万兆以太网TCP/IP协议处理架构 被引量:13
7
作者 吴惑 刘一清 《电子设计工程》 2020年第9期81-87,共7页
针对如今万兆网络流量服务器处理的瓶颈问题,提出了一种基于FPGA的万兆以太网TCP/IP协议处理架构。通过在处理架构中划分控制平面和数据平面,使各个逻辑电路模块的协同操作,并结合高速的I/O处理和存储器处理,利用硬件实现了完整的TCP/I... 针对如今万兆网络流量服务器处理的瓶颈问题,提出了一种基于FPGA的万兆以太网TCP/IP协议处理架构。通过在处理架构中划分控制平面和数据平面,使各个逻辑电路模块的协同操作,并结合高速的I/O处理和存储器处理,利用硬件实现了完整的TCP/IP协议栈,有效的解决了服务器处理万兆网络流量的瓶颈问题。将所提出的架构应用于实际万兆以太网TCP/IP卸载板卡中,其协议支持ARP、ICMP、UDP、TCP等,并且时延最低可达0.288μs,文件传输速率可达933 MB/s,CPU资源占用率仅为10%,光口有效数据吞吐率可达9.034 Gbps。 展开更多
关键词 万兆以太网 fpga TCP/IP协议栈 TOE
下载PDF
基于FPGA和UDP/IP协议的千兆网络图传系统 被引量:4
8
作者 韩剑南 胡辽林 《计算机系统应用》 2018年第3期99-104,共6页
随着图像领域硬件设备的升级换代,越来越多的设备已经使用百万像素级别的图像,而传输图像的设备也成为最为基础和重要的一环.针对这类问题,本文采用简单易行的UDP/IP协议和编程灵活、实时性好的FPGA作为硬件平台.在UDP/IP中的添加图像... 随着图像领域硬件设备的升级换代,越来越多的设备已经使用百万像素级别的图像,而传输图像的设备也成为最为基础和重要的一环.针对这类问题,本文采用简单易行的UDP/IP协议和编程灵活、实时性好的FPGA作为硬件平台.在UDP/IP中的添加图像数据报文协议,采用硬件实现该协议和图像实时显示.实验结果表明,本系统能够实现速度为865.19 Mbit/s的图像传输和实时显示,同时满足便于移植、高速传输和高度集成这三点要求. 展开更多
关键词 UDP/IP协议 图像传输 fpga 千兆以太网
下载PDF
基于FPGA的千兆以太网接口应用研究与实现 被引量:22
9
作者 吴长瑞 徐建清 蒋景红 《现代电子技术》 北大核心 2018年第9期1-5,共5页
为解决嵌入式领域中数据量大、速度高、实时性强等传输问题,提出FPGA和PHY芯片相结合的设计方案,用于实现UDP/IP协议。通过深入研究TCP/IP协议栈体系结构和工作原理,按照以太网的帧格式封包和解包,在FPGA中实现UDP/IP数据的正确发送和... 为解决嵌入式领域中数据量大、速度高、实时性强等传输问题,提出FPGA和PHY芯片相结合的设计方案,用于实现UDP/IP协议。通过深入研究TCP/IP协议栈体系结构和工作原理,按照以太网的帧格式封包和解包,在FPGA中实现UDP/IP数据的正确发送和接收。在自主研发的硬件平台上验证了该方案的正确性和可靠性。由于使用FPGA实现UDP/IP协议,系统具有移植性高和易于集成等优点。 展开更多
关键词 UDP/IP 协议栈 千兆以太网 现场可编程门阵列 帧格式 系统集成
下载PDF
基于FPGA的UDP/IP协议栈的研究与实现 被引量:15
10
作者 崔鹤 刘云清 盛家进 《长春理工大学学报(自然科学版)》 2014年第2期133-137,共5页
针对视频、音频数据流的数据量大、速率高、实时性强等特点,通过深入研究UDP传输协议特点以及UDP/IP协议栈体系结构,提出采用现场可编程门阵列(FPGA)和物理PHY芯片Marvell 88E1111作为系统平台的设计方案。采用自顶向下的设计方法,完成... 针对视频、音频数据流的数据量大、速率高、实时性强等特点,通过深入研究UDP传输协议特点以及UDP/IP协议栈体系结构,提出采用现场可编程门阵列(FPGA)和物理PHY芯片Marvell 88E1111作为系统平台的设计方案。采用自顶向下的设计方法,完成对各个模块的整合,通过功能仿真验证系统功能,并给出UDP/IP协议栈通信仿真结果,结果表明协议栈能够按照标准UDP/IP协议对数据进行封包和解包;在XILINX公司开发的ML605硬件开发平台上测试了UDP/IP协议栈系统的通信性能,通信效率测试结果表明,实际通信效率明显优于其它实现方案。通过在FPGA内实现UDP/IP协议栈,便于系统集成且具有移植性高的特点,系统充分利用了千兆以太网更高传输带宽的优点,特别适用于大数据量的传输环境。 展开更多
关键词 UDP IP 协议栈 现场可编程门阵列 千兆以太网
下载PDF
基于FPGA+ARM多路千兆以太网通信接口设计 被引量:3
11
作者 李杨 苏和平 +1 位作者 张丹 许乔 《现代电子技术》 2022年第15期25-29,共5页
针对现有的千兆以太网通信接口设计中存在的价格昂贵、不能胜任多路以太网传输等问题,提出并实现一种基于FPGA+ARM的多路千兆以太网通信接口模块。该设计分为ARM和FPGA两个部分,通过STM32微处理器带有的灵活静态存储控制器(FSMC)接口进... 针对现有的千兆以太网通信接口设计中存在的价格昂贵、不能胜任多路以太网传输等问题,提出并实现一种基于FPGA+ARM的多路千兆以太网通信接口模块。该设计分为ARM和FPGA两个部分,通过STM32微处理器带有的灵活静态存储控制器(FSMC)接口进行数据交换。ARM部分通过发送函数、接收函数实现对以太网接口控制以及对数据的处理;FPGA部分采用自顶向下的设计方法,通过时序转换模块、打包解包模块以及MAC控制模块实现以太网接口功能,并且定义了两个自定义协议,分别用于ARM与FPGA通信以及FPGA内部打解包模块与MAC控制模块的通信。搭建了硬件测试验证平台对设计进行了全面验证。硬件测试验证结果表明该设计能够正确实现以上功能。该方案成本低廉、扩展性强、支持多通道传输且支持网络风暴抑制,适用于对成本要求较高且需多通道以太网传输的场景。 展开更多
关键词 多路千兆以太网 fpga+ARM FSMC 时序转换模块 打包解包模块 MAC控制模块 数据帧 通信协议
下载PDF
基于FPGA的千兆UDP/IP协议栈的实现及其在高速图像传输中的应用 被引量:6
12
作者 熊光阳 王野 +1 位作者 李志茹 张维纳 《仪器仪表用户》 2020年第3期38-41,共4页
设计在FPGA中实现了一套简化的千兆以太网UDP/IP协议栈,协议完全兼容IEEE802.3a千兆以太网标准,解决千兆以太网IP核移植性差和消耗FPGA资源量大的问题;设计FPGA采集CMOS摄像头图像数据的接口;利用UDP/IP协议栈完成采集的实时60f/s图像... 设计在FPGA中实现了一套简化的千兆以太网UDP/IP协议栈,协议完全兼容IEEE802.3a千兆以太网标准,解决千兆以太网IP核移植性差和消耗FPGA资源量大的问题;设计FPGA采集CMOS摄像头图像数据的接口;利用UDP/IP协议栈完成采集的实时60f/s图像的传输;在wireshark上抓取图像数据,实验结果表明,该高速图像传输接口能够满足高速图像传输的设计预期。 展开更多
关键词 fpga UDP/IP协议 千兆以太网 图像传输
下载PDF
基于FPGA的FC和千兆以太网桥接器设计 被引量:1
13
作者 王飞 黄盼盼 《雷达与对抗》 2022年第1期58-64,共7页
光纤通道和以太网一直都是网络的重要组成部分,研究异构网络的协议转换将成为网络技术突破的关键点。提出一种基于FPGA的光纤通道和千兆以太网桥接方案。首先设置特定的条件,筛选出需要转换的FC数据包和ICMP、TCP以及UDP数据包;然后运... 光纤通道和以太网一直都是网络的重要组成部分,研究异构网络的协议转换将成为网络技术突破的关键点。提出一种基于FPGA的光纤通道和千兆以太网桥接方案。首先设置特定的条件,筛选出需要转换的FC数据包和ICMP、TCP以及UDP数据包;然后运用哈希查表映射出对应的寻址信息,其余首部信息相互填充;最后对数据包的格式进行重组并发送。实验结果表明,FC协议和ICMP、TCP、UDP协议之间的相互转换使得不同以太网设备通过FC网络实现了相互通信,其转换速率满足千兆以太网传输带宽要求,未出现丢包或者错包的情况。 展开更多
关键词 千兆以太网 FC协议 ICMP、TCP、UDP协议 fpga 哈希查表 协议转换
下载PDF
基于FPGA的千兆以太网高速数据传输系统 被引量:5
14
作者 徐利刚 李岭 《仪表技术》 2016年第2期19-22,38,共5页
为满足舰用指挥控制系统大批量数据传输及实时性的应用需求,设计一种千兆以太网高速数据传输系统。系统采用现场可编程门阵列(FPGA)为主控芯片、88E1111为物理层网卡芯片,以满足舰用指控系统多通道、大数据、实时的高速传输要求。实验... 为满足舰用指挥控制系统大批量数据传输及实时性的应用需求,设计一种千兆以太网高速数据传输系统。系统采用现场可编程门阵列(FPGA)为主控芯片、88E1111为物理层网卡芯片,以满足舰用指控系统多通道、大数据、实时的高速传输要求。实验结果表明,系统以太网传输速度达到926 Mbit/s,有效解决了舰艇指控系统多通道大数据实时传输的问题,具有较大的应用前景。 展开更多
关键词 千兆以太网 UDP协议 现场可编程门阵列
下载PDF
基于FPGA的VPN网关设计方案研究
15
作者 柯向东 黄建华 《计算机应用研究》 CSCD 北大核心 2006年第6期233-235,共3页
提出了一种基于FPGA的高速VPN网关的设计方案。它的特点是用FPGA方式完成VPN网关的实时处理,而将通用处理机置于数据通路之外,完成系统配置和管理功能。这种构架的VPN实时性好,可以线速处理IP包,而且易于管理。
关键词 VPN安全网关 现场可编程门阵列 ipsec协议
下载PDF
基于FPGA与千兆以太网的测试系统设计 被引量:9
16
作者 成雅丽 李锦明 成乃朋 《实验室研究与探索》 CAS 北大核心 2019年第6期115-119,124,共6页
为实现对前端数据高速有效的采集、分析和处理,提出了一种基于FPGA与千兆以太网的测试系统的设计。系统采用串口以及A/D芯片完成对前端数据的采集,以FPGA为逻辑控制核心对采集到的数据进行编帧处理,通过GMII总线将处理好的数据发送至以... 为实现对前端数据高速有效的采集、分析和处理,提出了一种基于FPGA与千兆以太网的测试系统的设计。系统采用串口以及A/D芯片完成对前端数据的采集,以FPGA为逻辑控制核心对采集到的数据进行编帧处理,通过GMII总线将处理好的数据发送至以太网模块中的Gigabit PHY芯片,Gigabit PHY芯片通过网线进一步和PC进行数据交换。系统采用UDP完成与上位机的通信,并且使用上位机对接收的数据进行处理和显示。测试结果表明,系统数据传输速度能够达到900 Mb/s以上,接收到的数据未出现丢帧现象,可靠性高,具有良好的稳定性。 展开更多
关键词 测试系统 现场可编程门阵列 千兆以太网 用户数据报协议 上位机 高速数据
下载PDF
基于FPGA的万兆以太网UDP协议通信接口设计 被引量:5
17
作者 赵世超 左金印 +1 位作者 魏骁 赵哲 《电子技术应用》 2022年第10期113-117,122,共6页
针对嵌入式设备迅速增长的数据传输需求,介绍了一种依托于现场可编程门阵列(Field Programmable Gate Array,FPGA)平台,以光纤为传输媒介的万兆UDP/IP协议通信接口模块,并探究引入分支预测机制对通信接口模块发送时延产生的影响。通过... 针对嵌入式设备迅速增长的数据传输需求,介绍了一种依托于现场可编程门阵列(Field Programmable Gate Array,FPGA)平台,以光纤为传输媒介的万兆UDP/IP协议通信接口模块,并探究引入分支预测机制对通信接口模块发送时延产生的影响。通过对现有网络接口层、网际层、传输层和应用层典型传输模型的深入研究,使用硬件描述语言,模块化设计ARP控制器、IP控制器和UDP控制器,实现完备的UDP/IP通信接口模块,并评估了引入分支预测机制对通信接口模块发送时延产生的影响。分析表明:该设计实现简单,适配嵌入式设备对高带宽、低延时、资源低占用的需求,具备自主维护ARP表的能力,支持多设备级联。该设计在高速数据采集、远距离信息传输、片上数据高速处理等应用场景具有积极的意义。 展开更多
关键词 现场可编程门阵列 光纤通信 万兆以太网 UDP/IP协议 分支预测机制
下载PDF
面向运动控制器的千兆以太网通信模块的设计与实现
18
作者 苏国旺 张俊 +2 位作者 杨武 丁旭然 李秋 《仪表技术与传感器》 CSCD 北大核心 2024年第2期86-92,共7页
针对传统通信方式无法满足运动控制器对传输速度和实时性要求的问题,提出一种基于现场可编程门阵列(FPGA)的千兆以太网实现方案。结合UDP/IP协议中数据帧的封装和解析过程,对MAC层、IP层以及UDP层进行一体化设计,开发了一款低成本的千... 针对传统通信方式无法满足运动控制器对传输速度和实时性要求的问题,提出一种基于现场可编程门阵列(FPGA)的千兆以太网实现方案。结合UDP/IP协议中数据帧的封装和解析过程,对MAC层、IP层以及UDP层进行一体化设计,开发了一款低成本的千兆以太网通信模块。经仿真和硬件测试,该模块的主频最高可达179.76 MHz,数据帧接收与发送之间的间隔仅为444 ns,且存储器资源使用量不到1%,满足千兆以太网的传输速率及实时性要求。除正常的以太网通信功能外,该模块还实现了数据帧的清除和重发功能以及对通信模块的IP和MAC地址进行动态配置,为实现运动控制器的以太网通信提供了低成本的解决方案。 展开更多
关键词 千兆以太网 fpga UDP/IP协议 运动控制器
下载PDF
基于光纤千兆以太网的多路LVDS接收传输系统 被引量:8
19
作者 罗平 梁波 《仪表技术与传感器》 CSCD 北大核心 2018年第11期89-92,共4页
为了满足飞机发动机参数信号高速采集传输的需要,文中设计了一套针对多通道LVDS接口的高速数据接收传输系统。采用Kintex-7 FPGA芯片和光纤收发模块为核心架构,实现多路信号时分复用,基于Aurora光纤协议完成数据中间传输,最后使用TOE技... 为了满足飞机发动机参数信号高速采集传输的需要,文中设计了一套针对多通道LVDS接口的高速数据接收传输系统。采用Kintex-7 FPGA芯片和光纤收发模块为核心架构,实现多路信号时分复用,基于Aurora光纤协议完成数据中间传输,最后使用TOE技术实现千兆以太网与上位机通信。测试结果表明,该系统最高数据传输速率能实现875 Mbps,并且具有较好的实时稳定可靠性。 展开更多
关键词 LVDS 光纤通信 fpga Aurora协议 TOE技术 千兆以太网
下载PDF
吉比特数据链路层协议的设计与实现 被引量:1
20
作者 郭雅言 王沁 张晓彤 《计算机工程与设计》 CSCD 北大核心 2005年第10期2639-2641,2691,共4页
针对利用DDR接口进行互联的网络系统,设计了一种吉比特(Gbps)数据链路协议,详细描述了协议如何解决数据链路协议必需解决的一般性问题和该特定网络系统所带来的新问题,介绍了协议是如何帮助系统完成数据通信的,并给出了该协议采用FPGA... 针对利用DDR接口进行互联的网络系统,设计了一种吉比特(Gbps)数据链路协议,详细描述了协议如何解决数据链路协议必需解决的一般性问题和该特定网络系统所带来的新问题,介绍了协议是如何帮助系统完成数据通信的,并给出了该协议采用FPGA技术的实现方法以及系统的测试结果。 展开更多
关键词 DDR接口 数据链路协议 吉比特 有限状态机 fpga
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部