期刊文献+
共找到1篇文章
< 1 >
每页显示 20 50 100
Large-Capacity and High-Speed Instruction Cache Based on Divide-by-2 Memory Banks
1
作者 Qing-Qing Li Zhi-Guo Yu +2 位作者 Yi Sun Jing-He Wei Xiao-Feng Gu 《Journal of Electronic Science and Technology》 CAS CSCD 2021年第4期335-349,共15页
An increase in the cache capacity is usually accompanied by a decrease in access speed.To balance the capacity and performance of caches,this paper proposes an instruction cache(ICache)architecture based on divide-by-... An increase in the cache capacity is usually accompanied by a decrease in access speed.To balance the capacity and performance of caches,this paper proposes an instruction cache(ICache)architecture based on divide-by-2 memory banks(D2MB-ICache).The control circuit and memory banks of D2MB-ICache work at the central processing unit(CPU)frequency and the divide-by-2 CPU frequency,respectively,so that the capacity of D2MB-ICache can be expanded without lowering its frequency.For sequential access,D2MB-ICache can output the required instruction from memory banks per CPU cycle by dividing the memory banks with a partition mechanism and employing an inversed clock technique.For non-sequential access,D2MB-ICache will fetch certain jump instructions one or two more times,so that it can catch the jump of the request address in time and send the correct instruction to the pipeline.Experimental results show that,compared with conventional ICache,D2MB-ICaches with the same and double capacities show a maximum frequency increase by an average of 14.6%and 6.8%,and a performance improvement by an average of 10.3%and 3.8%,respectively.Moreover,energy efficiency of 64-kB D2MB-ICache is improved by 24.3%. 展开更多
关键词 cache capacity expansion divide-by-2 frequency instruction cache(Icache) inversed clock.
下载PDF
上一页 1 下一页 到第
使用帮助 返回顶部