期刊文献+
共找到2篇文章
< 1 >
每页显示 20 50 100
Analytical-BEM coupling method for fast 3-D interconnect resistance extraction
1
作者 WANG Xi-ren YU Wen-jian WANG Ze-yi 《Frontiers of Electrical and Electronic Engineering in China》 CSCD 2006年第2期239-243,共5页
Deep submicron process technology is widely being used and interconnect structures are becoming more and more complex.This means that the resistance calculation based on two-dimensional models can no longer provide su... Deep submicron process technology is widely being used and interconnect structures are becoming more and more complex.This means that the resistance calculation based on two-dimensional models can no longer provide sufficiently accurate results.This paper presents a three-dimensional resistance calculation method called the combined analytical formula and boundary element method(ABEM).The method cuts selected interconnecting lines then it calculates the resistances of straight sections using an analytical formula and the resistances of the other sections using the boundary element method(BEM).The resistances of the different sub-regions are combined to calculate the resistance of the entire region.Experiments on actual layouts show that compared with the commercial software Raphael based on finite difference method,the proposed method is 2-3 orders of magnitude faster.The ABEM method uses much less memory(about 0.1%-1%),and is more accurate than Raphael with default mesh partitions.The results illustrate that the proposed method is efficient and accurate. 展开更多
关键词 Very large scale integration interconnecting resistance 3-D extraction Analytical formula BEM
原文传递
A novel interconnect optimal buffer insertion model considering the self-heating effect
2
作者 张岩 董刚 +4 位作者 杨银堂 王宁 丁尧舜 刘晓贤 王凤娟 《Journal of Semiconductors》 EI CAS CSCD 2013年第11期118-123,共6页
Considering the self-heating effect, an accurate expression for the global interconnection resistance per unit length in terms of interconnection wire width and spacing is presented. Based on the proposed resistance m... Considering the self-heating effect, an accurate expression for the global interconnection resistance per unit length in terms of interconnection wire width and spacing is presented. Based on the proposed resistance model and according to the trade-off theory, a novel optimization analytical model of delay, power dissipation and bandwidth is derived. The proposed optimal model is verified and compared based on 90 nm, 65 nm and 40 nm CMOS technologies. It can be found that more optimum results can be easily obtained by the proposed model. This optimization model is more accurate and realistic than the conventional optimization models, and can be integrated into the global interconnection design ofnano-scale integrated circuits. 展开更多
关键词 self-heating effect interconnection wire resistance per unit length optimal model very large scale integration
原文传递
上一页 1 下一页 到第
使用帮助 返回顶部