期刊文献+
共找到157篇文章
< 1 2 8 >
每页显示 20 50 100
基于树形Mux的逻辑电路优化
1
作者 于宗源 廖春柳 +1 位作者 胡张 王伦耀 《宁波大学学报(理工版)》 CAS 2023年第5期69-75,共7页
为实现用case语句描述的逻辑电路的面积和延迟优化,提出了一种基于树形Mux的逻辑电路优化方法.该方法先将case语句转换为树形Mux,通过合并case语句实现Mux树中Mux门的个数和层级减少,并通过化简地址逻辑实现地址再编码电路的精简,进而... 为实现用case语句描述的逻辑电路的面积和延迟优化,提出了一种基于树形Mux的逻辑电路优化方法.该方法先将case语句转换为树形Mux,通过合并case语句实现Mux树中Mux门的个数和层级减少,并通过化简地址逻辑实现地址再编码电路的精简,进而实现映射后电路面积与延迟的优化.提出的算法使用C++语言实现,电路面积和延迟优化结果由常用学术开源EDA工具abc,结合国内EDA公司提供的映射库得到.实验结果表明,相比于abc工具,使用该方法得到的面积和延迟优化分别提升了26%和21%. 展开更多
关键词 mux case语句综合 逻辑优化 Verilog HDL
下载PDF
10GB/s高速SERDES电路的MUX/DEMUX设计 被引量:1
2
作者 马鸿开 陈岚 刘力轲 《微电子学与计算机》 CSCD 北大核心 2007年第12期174-176,共3页
介绍了一种适用于高速串并转换电路(SERDES)的MUX/DEMUX,采用0.18μmCMOS工艺,数据传输速率达到10GB/s。该电路主要由锁存器、选择器和时钟分频器3个模块组成,采用1.8V电压供电,MUX和DEMUX功耗分别为132mW和64mW。
关键词 SERDES mux DEmux 时钟分频器
下载PDF
MUX中的信号串扰分析及其分组分层设计 被引量:3
3
作者 张征平 任震 黄雯莹 《华南理工大学学报(自然科学版)》 EI CAS CSCD 北大核心 2001年第12期10-13,共4页
多路模拟开关 (MUX)广泛应用在各种智能仪器之中 ,MUX的特性对智能仪器的性能有很大影响 .本文对MUX中所存在的固有的信号串扰缺陷进行了比较详尽的理论分析 ,并且针对这种缺陷提出了MUX分组分层、信号错位的设计方案 ,从而基本消除了在... 多路模拟开关 (MUX)广泛应用在各种智能仪器之中 ,MUX的特性对智能仪器的性能有很大影响 .本文对MUX中所存在的固有的信号串扰缺陷进行了比较详尽的理论分析 ,并且针对这种缺陷提出了MUX分组分层、信号错位的设计方案 ,从而基本消除了在MUX中各通道之间存在的信号串扰现象 。 展开更多
关键词 mux 分组分层设计 信号错位 多路模拟开关 信号串扰 智能仪器
下载PDF
基于可重构MUXs网络的低功耗测试数据压缩方法 被引量:1
4
作者 刘军 吴玺 +1 位作者 韩银和 李晓维 《电子学报》 EI CAS CSCD 北大核心 2011年第5期1190-1193,共4页
测试数据和测试功耗是集成电路测试时关注的两个主要问题.为缩减测试数据体积和降低测试功耗,提出了一种基于可重构MUXs网络的低功耗测试数据压缩方法.这种方法在保持压缩率不变的前提下,充分利用MUXs网络中"空闲"的测试通道... 测试数据和测试功耗是集成电路测试时关注的两个主要问题.为缩减测试数据体积和降低测试功耗,提出了一种基于可重构MUXs网络的低功耗测试数据压缩方法.这种方法在保持压缩率不变的前提下,充分利用MUXs网络中"空闲"的测试通道来降低测试功耗.在降低测试功耗原则的指导下,将一些"有用"的测试通道进行拆分,即将这些"有用"通道驱动的一部分扫描链改由"空闲"的通道来驱动.提出了怎样选择通道,怎样将选择的通道进行拆分方法.实验结果表明建议的方法有效降低了测试时的平均功耗和峰值功耗. 展开更多
关键词 低功耗 测试数据压缩 可重构 muxs网络
下载PDF
具有MUX模式的新型LUT结构及其优化算法
5
作者 郭旭峰 王作建 +1 位作者 李明 于芳 《深圳大学学报(理工版)》 EI CAS 北大核心 2013年第3期248-253,共6页
针对传统4-LUT实现多路选择器(multiplexer,MUX)时逻辑利用率低,延迟略大的不足,提出具有MUX模式的新型查找表(look-up table,LUT)结构—M-LUT.M-LUT通过改进传统4-LUT结构,使其在兼容传统4-LUT功能的基础上新增MUX模式,仅需配置1个MUX... 针对传统4-LUT实现多路选择器(multiplexer,MUX)时逻辑利用率低,延迟略大的不足,提出具有MUX模式的新型查找表(look-up table,LUT)结构—M-LUT.M-LUT通过改进传统4-LUT结构,使其在兼容传统4-LUT功能的基础上新增MUX模式,仅需配置1个MUX模式的M-LUT即可实现1个MUX4功能,且延迟仅为一级LUT延迟.为M-LUT设计配套的优化算法,以提高M-LUT利用率.实验结果显示,采用M-LUT加优化算法后,LUT资源占用平均减少8.4%,电路时钟频率平均提高3.1%. 展开更多
关键词 微电子学 多路选择器 查找表 mux优化算法 现场可编程门阵列 电子设计自动化
下载PDF
C-MUX2网管信息通道重构方案研究
6
作者 丁伟强 裘卫星 唐立新 《电力信息与通信技术》 2013年第10期77-80,共4页
为解决原有C-MUX2网管通道因配套的马可尼SDH设备改造而中断的问题,通过对网管信息传输机制的深入分析,摒弃了原有利用SDH段开销保留字节传输网管信息的复杂方式,研究新的利用业务通道传输网管信息的方式,提出了2种解决方案,并比较其优... 为解决原有C-MUX2网管通道因配套的马可尼SDH设备改造而中断的问题,通过对网管信息传输机制的深入分析,摒弃了原有利用SDH段开销保留字节传输网管信息的复杂方式,研究新的利用业务通道传输网管信息的方式,提出了2种解决方案,并比较其优缺点,选择简明、可靠的方式,完成了对PCM网管通信的重构。在智能电网建设对通信网络管理提出更高要求的背景下,对PCM网管通信传输问题的解决提供了一种有益的参考思路。 展开更多
关键词 C—mux2 SDH 段开销 保留字节 网管通道
下载PDF
基于VxWorks网络系统MUX层绑定协议的方法研究
7
作者 梁衡 朱亮亮 杨华强 《工业控制计算机》 2012年第9期14-15,共2页
核电数字控制系统测试工具平台的开发,需要自定义传输协议实现服务器与检测器之间特定格式的数据传输。MUX层是VxWorks网络系统为了减弱数据链路层与协议层之间的耦合性、提升网络驱动程序和协议的开发速度而专门增加的一层协议。在详... 核电数字控制系统测试工具平台的开发,需要自定义传输协议实现服务器与检测器之间特定格式的数据传输。MUX层是VxWorks网络系统为了减弱数据链路层与协议层之间的耦合性、提升网络驱动程序和协议的开发速度而专门增加的一层协议。在详细分析自定义协议设计思想,MUX工作原理的基础之上,实现自定义协议在MUX层上的绑定。选择基于VxWorks网络系统MUX层绑定自定义协议无需考虑底层网络驱动细节,缩短了服务器与检测器之间网络通信接口开发时间,间接地提高了核电数字控制系统测试工具平台开发效率。 展开更多
关键词 VxWorks嵌入式实时操作系统 mux 自定义协议 绑定
下载PDF
动力电池检测芯片高压MUX电路设计 被引量:2
8
作者 满雪成 乌力吉 张向民 《微电子学与计算机》 CSCD 北大核心 2016年第12期47-51,56,共6页
设计了一个输入为8节串联电池单体的模拟高压MUX电路,可用于动力电池检测芯片电压采集前端.高压MUX电路的主体是互补DMOS器件及栅极驱动电路组成的高压开关阵列,消除了信号通路上由静态电流引入的误差.MUX电路输出与宽共模输入差分电压... 设计了一个输入为8节串联电池单体的模拟高压MUX电路,可用于动力电池检测芯片电压采集前端.高压MUX电路的主体是互补DMOS器件及栅极驱动电路组成的高压开关阵列,消除了信号通路上由静态电流引入的误差.MUX电路输出与宽共模输入差分电压检测前端相连,避免使用电平移位电路,在减少功耗的同时,提高了电压检测精度.电路设计仿真结果表明,在0.5μm 60VBCD工艺下,MUX电路工作电流30μA,无校准情况下由MUX电路引入的误差小于0.2mV. 展开更多
关键词 电池管理 采集前端 高压mux BCD工艺
下载PDF
GF-2 PMS2与ZY-3 MUX多光谱传感器数据的交互对比 被引量:4
9
作者 吴晓萍 徐涵秋 《光谱学与光谱分析》 SCIE EI CAS CSCD 北大核心 2019年第1期310-318,共9页
近年来随着我国新型国产高分影像的相继问世以及相关应用的逐步展开,不少研究涉及了不同国产高分影像多光谱数据之间的交互对比,但两种国产分辨率最高的GF-2PMS2与ZY-3MUX传感器多光谱数据之间的对比仍未见报道。为了使这两种国产主力... 近年来随着我国新型国产高分影像的相继问世以及相关应用的逐步展开,不少研究涉及了不同国产高分影像多光谱数据之间的交互对比,但两种国产分辨率最高的GF-2PMS2与ZY-3MUX传感器多光谱数据之间的对比仍未见报道。为了使这两种国产主力高分辨率传感器的多光谱数据能够在实际应用中相互补充使用,发挥更大的作用,基于它们的3对同日过空影像,采用两种方法对其进行交互对比。第一种方法是对整个试验区采用逐像元光谱比较法进行对比,第二种是采用样区光谱均值比较法进行对比,即在试验影像上选择一系列的样区,然后以各样区的均值进行对比。通过对两种传感器同步影像对的表观反射率进行回归分析,获得各对应波段的回归散点图,查明它们之间的定量关系,并据此提出相互转换的关系方程。研究结果表明,两种对比方法得出的结果相一致,但使用样区光谱均值比较法进行交互对比的结果的准确性更高。GF-2PMS2与ZY-3 MUX各对应波段具有很强的相关性,其线性回归方程的决定系数(R2)都大于0.9,但其值在蓝绿波段较高,在红光和近红外波段有所下降,表明两种传感器的表观反射率在蓝绿波段的一致性好于红光和近红外波段。总体上看,GF-2PMS2的信号强于ZY-3MUX,二者的信号差异在蓝、绿光波段较大,在红光和近红外波段较小,但却明显受到地物类型的影响。对于以裸土为主的影像,两种传感器之间的差异随着波长的增大而逐渐减小,而对于以植被为主的影像,二者之间的差异却随着波长的增大而逐渐增大。将纯植被与纯裸土的样区单独提取出来做进一步分析,结果表明,两种传感器的信号差异程度在红光波段主要受裸土影响,而在近红外波段则主要是受植被影响,且植被长势越旺盛,两种传感器的表观反射率差异越大。通过研究获得了两种传感器多光谱波段数据之间的相互转换方程,并对其进行验证,结果表明:经过转换后的GF-2PMS2数据与ZY-3MUX数据之间的差异大大减小,各波段均方根误差的均值降幅可达64.79%,平均相对偏差率也有明显的降低。这表明,所查明的两种传感器的定量关系是有效的,其对应波段的转换方程可以用于两种传感器数据的相互转换,经转换后的数据更有利于这两种传感器数据的协同使用。分析两种传感器数据的差异原因表明:二者数据的差异主要是由于它们的光谱响应函数的差异和空间分辨率的差异引起的。ZY-3 MUX的光谱响应函数曲线相对平缓,没有明显的起伏波动,而GF-2PMS2则较不稳定,在四个波段呈现出程度不同的起伏变化,从而影响了二者表观反射率信号的一致性;而GF-2PMS2具有的4m空间分辨率明显高于ZY-3MUX的6m空间分辨率,因此更容易捕捉到细小地物的光谱信息,这也使得二者信号出现不一致。 展开更多
关键词 GF-2 PMS2 ZY-3 mux 传感器 表观反射率 交互对比
下载PDF
VxWorks网络协议栈的MUX接口 被引量:6
10
作者 张晓华 李智涛 徐钊 《单片机与嵌入式系统应用》 2002年第5期22-24,共3页
嵌入式系统网络协议栈的灵活性在应用上是一个重要指标。介绍嵌入式实时操作系统VxWorks网络协议栈的MUX(Mutiplexer)接口及其使用方法。
关键词 VXWORKS 网络协议栈 mux接口
下载PDF
ASIC中时钟MUX电路结构时序约束的方法分析 被引量:3
11
作者 许立明 李沛杰 +1 位作者 杨堃 张丽 《集成电路应用》 2019年第11期12-15,共4页
时钟切换在数字集成电路设计中十分常见,对时钟MUX电路结构的正确时序约束显得非常重要。介绍几种常见的时钟MUX电路结构,使用业界标准Synopsys设计约束(SDC)对不同MUX电路结构分别给出几种时序约束方法。详细分析了各MUX结构约束的原... 时钟切换在数字集成电路设计中十分常见,对时钟MUX电路结构的正确时序约束显得非常重要。介绍几种常见的时钟MUX电路结构,使用业界标准Synopsys设计约束(SDC)对不同MUX电路结构分别给出几种时序约束方法。详细分析了各MUX结构约束的原理。给出约束方法在40 nm、16 nm、7 nm等工艺下均成功流片。 展开更多
关键词 集成电路设计 ASIC 时序约束 时钟创建 时钟 mux 结构 STA
下载PDF
基于MUX接口的嵌入式网络应用程序设计 被引量:1
12
作者 李冬浩 吴昊 《计算机与网络》 2011年第9期45-47,共3页
针对风河公司的嵌入式实时操作系统(VxWorks)的网络驱动及应用程序开发设计,介绍了VxWorlks的增强型网络驱动程序(END)及其结构,对工作在END和网络协议层之间的多元接口层(MUX)的工作原理和程序设计框架进行了详细论述,并结合在VxWorks... 针对风河公司的嵌入式实时操作系统(VxWorks)的网络驱动及应用程序开发设计,介绍了VxWorlks的增强型网络驱动程序(END)及其结构,对工作在END和网络协议层之间的多元接口层(MUX)的工作原理和程序设计框架进行了详细论述,并结合在VxWorks系统下发送UDP广播包的实例,给出了基于MUX接口的嵌入式网络应用程序设计流程,对所有基于MUX机制下的VxWorks网络应用程序的开发具有参考价值。 展开更多
关键词 mux END VXWORKS UDP f埝
下载PDF
基于BUFGMUX与DCM的FPGA时钟电路设计 被引量:6
13
作者 宋威 方穗明 《现代电子技术》 2006年第2期141-143,共3页
与ASIC(专用集成电路)的时钟电路相比,基于FPGA(现场可编程门阵列)的时钟电路有其自身的特点。FPGA一般提供专用时钟资源搭建时钟电路,相应的综合工具也能够自动使用这些资源,但是针对门控时钟和时钟分频电路,如果直接使用综合工具自动... 与ASIC(专用集成电路)的时钟电路相比,基于FPGA(现场可编程门阵列)的时钟电路有其自身的特点。FPGA一般提供专用时钟资源搭建时钟电路,相应的综合工具也能够自动使用这些资源,但是针对门控时钟和时钟分频电路,如果直接使用综合工具自动处理的结果,会造成较大的时钟偏差。通过合理使用DCM(数字时钟管理单元)和BUFG-MUX(全局时钟选择缓冲器)等FPGA的特殊资源,手动搭建时钟电路,可以尽可能地减少时钟偏差对电路时序的影响。 展开更多
关键词 现场可编程门阵列 时钟 全局时钟选择缓冲器 电路时序
下载PDF
一种面向FPGA器件软错误的MUX结构设计
14
作者 熊力孚 何卫锋 毛志刚 《微电子学与计算机》 CSCD 北大核心 2015年第8期130-134,共5页
在航空和工业领域,FPGA器件已经得到了广泛的应用.然而SRAM型FPGA极易受到空间高能粒子的影响发生单粒子翻转软错误.对此针对实际FPGA器件内部的MUX结构,利用MUX真实存在的冗余配置位进行重新编码,设计出一种新颖的抗软错误MUX结构.实... 在航空和工业领域,FPGA器件已经得到了广泛的应用.然而SRAM型FPGA极易受到空间高能粒子的影响发生单粒子翻转软错误.对此针对实际FPGA器件内部的MUX结构,利用MUX真实存在的冗余配置位进行重新编码,设计出一种新颖的抗软错误MUX结构.实验结果表明,提出的MUX结构能够在较低的面积开销下实现对单粒子翻转软错误的完全防护. 展开更多
关键词 FPGA 单粒子翻转(SEU) 软错误防护 mux
下载PDF
MUX+PLUSⅡ在EDA课程教学中常见问题 被引量:1
15
作者 邱海燕 李立 《科技信息》 2010年第12期I0235-I0235,共1页
EDA课程是VHDL语言、VHDL程序设计、MUX+PLUSⅡ的使用等结合一体的课程,其中VHDL语言的程序设计经常要用到MUX+PLUSⅡ软件,学生在使用过程中经常遇到几个常见的问题。
关键词 mux+PLUSⅡ EDA
下载PDF
在Vxworks下实现基于MUX接口的驱动程序 被引量:1
16
作者 余程鹏 《舰船电子对抗》 2003年第4期12-15,共4页
描述了MUX接口的概念及其用途 ,并介绍了撰写一个增强模式的网络驱动程序 (END)必须实现加载设备endload( )等一系列函数 ,最后说明在Vxworks中加入网络驱动程序的过程。
关键词 VXWORKS mux接口 网络驱动程序 嵌入式实时操作系统 END 函数 生命周期
下载PDF
仿真环境下利用MUX VLAN实现局域网的构建 被引量:1
17
作者 杨礼 刘静 《陕西理工大学学报(自然科学版)》 2019年第4期52-56,共5页
虚拟局域网(VLAN)技术提供了把物理网络在逻辑上划分为多个广播域的交换技术,在局域网中有着非常广泛的应用。针对MUX VLAN能够同时实现VLAN的隔离和通信的特性,设计了一个局域网的仿真实验,并使用多生成树协议和链路聚合技术提高局域... 虚拟局域网(VLAN)技术提供了把物理网络在逻辑上划分为多个广播域的交换技术,在局域网中有着非常广泛的应用。针对MUX VLAN能够同时实现VLAN的隔离和通信的特性,设计了一个局域网的仿真实验,并使用多生成树协议和链路聚合技术提高局域网的可靠性。介绍了MUX VLAN的基本知识和原理,给出了多生成树、链路聚合、MUX VLAN的具体配置以及实验验证结果。在eNSP模拟器中的仿真结果表明,该实验实现了局域网的链路冗余和负载均衡的功能,利用MUX VLAN的特性满足了特定环境下的组网需求。 展开更多
关键词 mux VLAN 多生成树协议 链路聚合 局域网
下载PDF
嵌入式终端MUX平台的内存管理研究与实现
18
作者 李玉涛 佘广桥 《广东通信技术》 2011年第11期38-42,共5页
在移动通信发展迅速和互联网普及的今天,嵌入式系统的应用越来越广泛,功能也越来越复杂,嵌入式系统内存本来就很有限,内存管理机制就显得越来越重要。文章就嵌入式终端MUX平台的内存管理进行了研究,提出了内存管理优化的算法并进行实现... 在移动通信发展迅速和互联网普及的今天,嵌入式系统的应用越来越广泛,功能也越来越复杂,嵌入式系统内存本来就很有限,内存管理机制就显得越来越重要。文章就嵌入式终端MUX平台的内存管理进行了研究,提出了内存管理优化的算法并进行实现,提高了MUX平台的内存有效利用率,终端的性能也得到了很大的提升。 展开更多
关键词 嵌入式终端 内存管理 多路复用(mux)
下载PDF
MUX专线技术浅析
19
作者 郑鸿飞 《电信快报》 2003年第6期13-14,25,共3页
关键词 多业务接入节点 mux 专线技术 专线上网 接入方式 TDM
下载PDF
2.5 Gb/s 16∶1 MUX IC Design with CMOS
20
作者 ZHANGCheng-an SONGQi-feng WANGZhi-gong 《Semiconductor Photonics and Technology》 CAS 2004年第4期233-236,共4页
A low-power and high-speed 16:1 MUX IC designed for Optical fiber communication based on TSMC 0.25 μm CMOS technology is represented. A tree-type architecture was utilized. The output data bit rate is 2.5 Gb/s at inp... A low-power and high-speed 16:1 MUX IC designed for Optical fiber communication based on TSMC 0.25 μm CMOS technology is represented. A tree-type architecture was utilized. The output data bit rate is 2.5 Gb/s at input clock rate of 1.25 GHz. The simulation results show that the output signal has peak-to-peak amplitude of 400 mV, the power dissipation is less than 200 mW and the power dissipation of core circuit is less than 20 mW at the 2.5 Gb/s standard bit rate and supply voltage of 2.5 V. The chip area is (1.8) mm2. 展开更多
关键词 IC CMOS mux TSMC B/S 集成电路 光纤通信
下载PDF
上一页 1 2 8 下一页 到第
使用帮助 返回顶部