期刊文献+
共找到23篇文章
< 1 2 >
每页显示 20 50 100
一种基于VHDL与CPLD器件的PWM发生器 被引量:6
1
作者 林王坚 冯浩 华亮 《机电工程》 CAS 2008年第2期93-95,99,共4页
介绍了一种自行研制的基于硬件描述语言(VHDL)和复杂可编程逻辑器件(CPLD)的PWM发生器的设计,在产生正反两路PWM波形信号的同时,实现了两路信号互锁、延时时间可调。该发生器采用数字化设计,结构简单、控制精确、可在线编程。
关键词 硬件描述语言 复杂可编程逻辑器件 脉宽调制发生器
下载PDF
基于CPLD的2PSK解调器设计方案及实现 被引量:1
2
作者 王兰勋 胡聪权 +1 位作者 张庆顺 高芳 《华北电力大学学报(自然科学版)》 CAS 北大核心 2006年第3期70-72,共3页
针对2PSK信号的特点,提出了一种基于CPLD的2PSK信号解调器设计方案。此方案先将2PSK信号通过比较器等进行二值数字化,再用VHDL语言对此数字化后的二值逻辑信号进行数字滤波、延迟、逻辑运算和识别等处理,实现对2PSK信号的非相干解调。... 针对2PSK信号的特点,提出了一种基于CPLD的2PSK信号解调器设计方案。此方案先将2PSK信号通过比较器等进行二值数字化,再用VHDL语言对此数字化后的二值逻辑信号进行数字滤波、延迟、逻辑运算和识别等处理,实现对2PSK信号的非相干解调。叙述了该方案的工作原理及设计思想,并用复杂可编程逻辑器件CPLD予以实现。最后,给出了程序设计和仿真波形。 展开更多
关键词 vhdl语言 2PSK 解调 复杂可编程逻辑器件
下载PDF
一种2DPSK调制解调电路的CPLD设计 被引量:4
3
作者 龙光利 《电讯技术》 北大核心 2009年第4期29-31,共3页
在高速数据传输系统中,目前用得较多的数字调制方式是相干2DPSK。阐述了2DPSK调制解调的基本原理,在MAX+PLUSⅡ软件平台上,给出了利用复杂可编程逻辑器件设计的2DPSK调制解调电路,并进行了编译和波形仿真。综合后下载到复杂可编程逻辑器... 在高速数据传输系统中,目前用得较多的数字调制方式是相干2DPSK。阐述了2DPSK调制解调的基本原理,在MAX+PLUSⅡ软件平台上,给出了利用复杂可编程逻辑器件设计的2DPSK调制解调电路,并进行了编译和波形仿真。综合后下载到复杂可编程逻辑器件EPM7128SLC84-15中,测试结果表明,达到了预期的设计要求。 展开更多
关键词 数据传输系统 二进制差分相移键控 调制解调电路 复杂可编程逻辑器件
下载PDF
一种基于CPLD的QDPSK调制解调电路设计 被引量:1
4
作者 龙光利 《电子设计工程》 2010年第11期131-135,共5页
为了在CDMA系统中更好地应用QDPSK数字调制方式,在分析四相相对移相(QDPSK)信号调制解调原理的基础上,设计了一种QDPSK调制解调电路,它包括串并转换、差分编码、四相载波产生和选相、相干解调、差分译码和并串转换电路。在MAX+PLUSⅡ软... 为了在CDMA系统中更好地应用QDPSK数字调制方式,在分析四相相对移相(QDPSK)信号调制解调原理的基础上,设计了一种QDPSK调制解调电路,它包括串并转换、差分编码、四相载波产生和选相、相干解调、差分译码和并串转换电路。在MAX+PLUSⅡ软件平台上,进行了编译和波形仿真。综合后下载到复杂可编程逻辑器件EPM7128SLC84-15中,测试结果表明,调制电路能正确选相,解调电路输出数据与QDPSK调制输入数据完全一致,达到了预期的设计要求。 展开更多
关键词 四相相对移相键控 调制 解调 复杂可编程逻辑器件
下载PDF
基于CPLD的水中主动声探测仪计数检波器设计
5
作者 赵国库 陈荷娟 张志安 《测试技术学报》 2006年第3期201-204,共4页
从提高水中主动声探测仪检波器的工作性能角度出发,提出了一种能够克服传统二极管包络检波器缺点的计数检波器,通过在1 m s时间内统计输入信号的脉冲个数,来区分干扰脉冲和回波信号.在X il-inx foundation series3.1软件平台上,采用VHDL... 从提高水中主动声探测仪检波器的工作性能角度出发,提出了一种能够克服传统二极管包络检波器缺点的计数检波器,通过在1 m s时间内统计输入信号的脉冲个数,来区分干扰脉冲和回波信号.在X il-inx foundation series3.1软件平台上,采用VHDL(超高速硬件描述语言)和自顶向下的方法,完成了基于CPLD(可编程逻辑器件)的计数检波器设计,并进行了逻辑仿真和水下静态试验.结果表明,基于CPLD的计数检波器设计功能正确,具有一定的柔性和可升级性;起到检波和滤波的双重作用,提高了电路的抗干扰能力;并且通过在整形电路中增加限幅放大器,可以进一步提高计数检波器的性能. 展开更多
关键词 主动声探测仪 检波器 计数 cpld vhdl
下载PDF
基于CPLD的BPSK调制解调的实现
6
作者 张家田 宋文禧 严正国 《现代电子技术》 2007年第17期82-83,86,共3页
石油测井数据传输中,为了实现双相移键控的调制和解调,提出了BPSK码的调制和解调方案,分析BPSK调制和解调的原理,用Max+PlusⅡ对原理进行仿真,并给出了原理图和仿真结果,使用一片CPLD实现。该电路的实现稳定可靠,可移植性高,为BPSK调制... 石油测井数据传输中,为了实现双相移键控的调制和解调,提出了BPSK码的调制和解调方案,分析BPSK调制和解调的原理,用Max+PlusⅡ对原理进行仿真,并给出了原理图和仿真结果,使用一片CPLD实现。该电路的实现稳定可靠,可移植性高,为BPSK调制解调技术提出了更好的解决方法。 展开更多
关键词 BPSK cpld 调制解调 Max+PlusⅡ
下载PDF
运用CPLD/FPGA实现电源逆变控制电路
7
作者 岳彬 吴国强 余向明 《现代电子技术》 2007年第20期47-49,共3页
随着电子技术的不断发展与进步,电子系统的设计方法发生了巨大的变化,EDA技术的芯片设计正在崛起,必将逐步替代传统的设计方法,并成为电子系统设计的主流,大规模可编程器件CPLD/FPGA是当今应用最为广泛的可编程专用集成电路,这里介绍其... 随着电子技术的不断发展与进步,电子系统的设计方法发生了巨大的变化,EDA技术的芯片设计正在崛起,必将逐步替代传统的设计方法,并成为电子系统设计的主流,大规模可编程器件CPLD/FPGA是当今应用最为广泛的可编程专用集成电路,这里介绍其在逆变电源控制电路上的应用,说明他具有缩短开发周期,降低成本,提高系统可靠性等优点。 展开更多
关键词 逆变控制 cpld/FPGA vhdl 脉宽调制
下载PDF
基于CPLD的PSK系统设计
8
作者 沈琰 李龙江 《微计算机信息》 北大核心 2007年第04Z期244-245,243,共3页
本论文主要讨论和仿真了基于CPLD的PSK系统单元设计,在阐述调制解调系统的基本原理与设计方法的同时,又详细地介绍了系统的总体电路框图及各个模块的具体软硬件实现。作者以VHDL作为设计的硬件描述语言,在Altera公司的Maxplus2开发平台... 本论文主要讨论和仿真了基于CPLD的PSK系统单元设计,在阐述调制解调系统的基本原理与设计方法的同时,又详细地介绍了系统的总体电路框图及各个模块的具体软硬件实现。作者以VHDL作为设计的硬件描述语言,在Altera公司的Maxplus2开发平台上进行了程序设计及波形仿真。“自顶向下”是本设计的主要特色,所有程序都通过了以EPM7128SLC84-7作为主芯片的CPLD实验开发板的硬件调试。 展开更多
关键词 调制解调 cpld vhdl
下载PDF
基于CPLD的AM通信实验教学系统的设计与实现
9
作者 卢艳红 《武汉职业技术学院学报》 2006年第5期68-70,共3页
采用模块化的设计方法,设计和实现了一种基于CPLD的AM通信实验教学系统,阐述了该系统用于综合设计教学的优势和特色,给出了系统的硬件设计和软件设计。
关键词 cpld AM调制 AM解调 实验教学系统
下载PDF
CPLD模块在毫米波雷达测距中的应用研究 被引量:3
10
作者 黄康 娄国伟 张媛媛 《现代雷达》 CSCD 北大核心 2003年第5期27-29,共3页
主要介绍了用VHDL语言对CPLD进行编程 ,实现毫米波雷达测距。在分析和实验的基础上 ,达到了要求的技术指标 。
关键词 cpld vhdl语言 毫米波雷达 测距
下载PDF
基于CPLD的QPSK解调系统的研究 被引量:1
11
作者 陈丁 田雨书 +2 位作者 羽永祥 车莉 王媛 《企业技术开发》 2010年第9期50-51,共2页
为了使高速通信系统更加紧凑、成本更低、减小功耗,特别是提高设备的可靠性,可采用QPSK数字调制技术来实现,其具有频谱利用率高、频谱特性好、抗干扰性能强、传输速率快等特点。运用VHDL语言在QuartusⅡ开发平台上进行基于CPLD的QPSK原... 为了使高速通信系统更加紧凑、成本更低、减小功耗,特别是提高设备的可靠性,可采用QPSK数字调制技术来实现,其具有频谱利用率高、频谱特性好、抗干扰性能强、传输速率快等特点。运用VHDL语言在QuartusⅡ开发平台上进行基于CPLD的QPSK原理设计及信号的波形模拟仿真,结果表明:系统可完全实现解调功能,并具有集成度高和可软件升级等优点。 展开更多
关键词 Q:PSKC PLD 解调 vhdl
下载PDF
基于CPLD的FSK调制解调电路及其测试 被引量:1
12
作者 武立华 黄玉 +2 位作者 王姣 赵恩铭 刘志海 《物理实验》 2014年第4期19-22,25,共5页
根据数字式FSK调制和解调的工作原理,对比分析了基于CPLD和单片机的2种电路实现方案.设计了基于CPLD的FSK调制解调模块,利用QuartusⅡ开发平台对CPLD进行编程,实现了FSK调制与解调功能,用IAR FOR AVR平台对单片机ATMEGA16进行编程,实现... 根据数字式FSK调制和解调的工作原理,对比分析了基于CPLD和单片机的2种电路实现方案.设计了基于CPLD的FSK调制解调模块,利用QuartusⅡ开发平台对CPLD进行编程,实现了FSK调制与解调功能,用IAR FOR AVR平台对单片机ATMEGA16进行编程,实现了位同步功能,同时与外部用SPI接口进行通信.搭建了实际的硬件电路,仿真与实验测试均验证了设计的正确性. 展开更多
关键词 FSK调制解调 单片机 位同步
下载PDF
基于VHDL的4ASK的设计与实现 被引量:1
13
作者 王红艳 李竹 《电脑与电信》 2009年第5期23-24,27,共3页
采用层次化和模块化的设计方法,给出了基于VHDL的4ASK调制和解调系统中载波信号产生、振幅调制、振幅判别等功能的实现方案。最后给出了在MAX+PUSSⅡ软件平台上的仿真结果和在EPF10K10LC84硬件平台上的测试结果。结果表明所设计的系统... 采用层次化和模块化的设计方法,给出了基于VHDL的4ASK调制和解调系统中载波信号产生、振幅调制、振幅判别等功能的实现方案。最后给出了在MAX+PUSSⅡ软件平台上的仿真结果和在EPF10K10LC84硬件平台上的测试结果。结果表明所设计的系统是稳定和可靠的。 展开更多
关键词 vhdl 4ASK 调制 解调
下载PDF
基于VHDL的4PSK的设计与实现
14
作者 刘瑜 李竹 《电子设计工程》 2009年第11期19-20,23,共3页
利用可编程逻辑器件在数字微波通信系统中实现了四相制4PSK(4-ary Phase-Shift Keying)的调制和解调。该设计方案采用层次化、模块化和参数化的设计方法,实现基于载波信号产生、相位调制、相位判别等功能。并给出在MAX+PUSSⅡ软件平台... 利用可编程逻辑器件在数字微波通信系统中实现了四相制4PSK(4-ary Phase-Shift Keying)的调制和解调。该设计方案采用层次化、模块化和参数化的设计方法,实现基于载波信号产生、相位调制、相位判别等功能。并给出在MAX+PUSSⅡ软件平台上的仿真结果。结果表明该系统设计稳定可靠。 展开更多
关键词 vhdl 4PSK 调制 解调
下载PDF
基于CPLD的相移键控系统设计
15
作者 苏万磊 《现代电子技术》 2007年第12期60-61,68,共3页
主要讨论和仿真基于CPLD的PSK系统单元设计,在阐述调制解调系统的基本原理与设计方法的同时,又详细地介绍了系统的总体电路框图及各个模块的具体软硬件实现。以VHDL作为设计的硬件描述语言,在Altera公司的Max+PlusⅡ开发平台上进行程序... 主要讨论和仿真基于CPLD的PSK系统单元设计,在阐述调制解调系统的基本原理与设计方法的同时,又详细地介绍了系统的总体电路框图及各个模块的具体软硬件实现。以VHDL作为设计的硬件描述语言,在Altera公司的Max+PlusⅡ开发平台上进行程序设计及波形仿真。“自顶向下”是本设计的主要特色,所有程序都通过以EPM7128SLC84-7作为主芯片的CPLD实验开发板的硬件调试。 展开更多
关键词 调制解调 cpld vhdl PSK
下载PDF
基于FPGA的四相移键控调制解调器的建模与设计 被引量:7
16
作者 辛洁 赵健东 孙运强 《国外电子测量技术》 2009年第1期52-54,共3页
针对传统的四相移键控(QPSK)的调制解调方式,提出一种基于高速硬件描述语言(VHDL)的数字式QPSK调制解调模型。这种新建模方式便于在目标芯片FPGA/CPLD上实现QPSK调制解调功能。新的QPSK调制器根据其调制模型,采用分频器和选择开关来实现... 针对传统的四相移键控(QPSK)的调制解调方式,提出一种基于高速硬件描述语言(VHDL)的数字式QPSK调制解调模型。这种新建模方式便于在目标芯片FPGA/CPLD上实现QPSK调制解调功能。新的QPSK调制器根据其调制模型,采用分频器和选择开关来实现;新的QPSK解调器模型引入一个特殊相位计数模块,对已调信号的进行解调。通过理论推导和系统VHDL的编程设计与时序仿真,结果表明新型QPSK调制解调器模型在理论和实用上是可行的,并且此种设计方案减小了硬件实现的复杂度,具有可移植性好、体积小、低功耗、可靠性高、方便维护和升级等优点。 展开更多
关键词 四相移键控 vhdl调制解调模型 仿真
下载PDF
基于FPGA的FSK调制解调器设计 被引量:9
17
作者 孙志雄 谢海霞 《现代电子技术》 2014年第9期80-82,共3页
数字通信系统中的数字调制与解调技术包括幅度键控(ASK)、频移键控(FSK)和相移键控(PSK),而FSK是应用较广的一种调制与解调方式。利用VHDL语言设计了2FSK调制解调器,并通过QuartusⅡ仿真平台进行仿真验证,最后下载到FPGA芯片EP1K30QC20... 数字通信系统中的数字调制与解调技术包括幅度键控(ASK)、频移键控(FSK)和相移键控(PSK),而FSK是应用较广的一种调制与解调方式。利用VHDL语言设计了2FSK调制解调器,并通过QuartusⅡ仿真平台进行仿真验证,最后下载到FPGA芯片EP1K30QC208-2实现了2FSK调制解调电路。仿真及实验结果表明采用此设计方案是可行的,并具有速度快、可靠性高及易于大规模集成的优点。 展开更多
关键词 调制 解调
下载PDF
基于FPGA的FSK调制解调器的设计及实现 被引量:4
18
作者 孙玉梅 《电子科技》 2009年第5期38-39,共2页
根据数字信号FSK调制和解调的工作原理,用VHDL语言设计了一种基于FPGA芯片的FSK调制解调器。整个系统的功能在EDA技术开发平台MAX+PLUSⅡ上调试通过,并在EP1K30144-3上硬件实现,具有较高的实用性和可靠性。
关键词 FSK 调制 解调 vhdl
下载PDF
FSK通信系统调制解调电路设计 被引量:4
19
作者 杨海斌 《煤炭技术》 CAS 北大核心 2010年第9期154-155,共2页
频移键控(FSK)是用不同频率的载波来传递数字信号,并用数字基带信号控制载波信号的频率。通过对FPGA芯片的下载、仿真进行研究,给出了用VHDL语言设计出调制与解调部分的总模块。仿真通过后,形成顶层文件完成系统的整体设计,最后在FPGA... 频移键控(FSK)是用不同频率的载波来传递数字信号,并用数字基带信号控制载波信号的频率。通过对FPGA芯片的下载、仿真进行研究,给出了用VHDL语言设计出调制与解调部分的总模块。仿真通过后,形成顶层文件完成系统的整体设计,最后在FPGA芯片上进行下载和仿真,实现了发端和收端的全数字FSK通信,验证了设计的正确性,说明用大规模集成电路设计的FSK通信系统在传输效率、误码率以及抗干扰性等方面有较好的特性。 展开更多
关键词 FSK 调制 解调 vhdl
下载PDF
基于FPGA的数字化调节技术的研究
20
作者 张铁 张硕 《唐山师范学院学报》 2007年第5期101-103,共3页
随着现代移动通讯的发展,软件无线电已经成为3G标准中重要部分设计的最好的解决方案,而数字调制解调部分是软件无线电系统中至关重要的组成部分。对基于FPGA的数字调制解调系统实现进行了研究。
关键词 数字调制解调 FIR滤波器 vhdl语言
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部