期刊文献+
共找到2,442篇文章
< 1 2 123 >
每页显示 20 50 100
Fabrication of superconducting NbN meander nanowires by nano-imprint lithography 被引量:1
1
作者 杨美 刘丽华 +5 位作者 宁鲁慧 金贻荣 邓辉 李洁 李阳 郑东宁 《Chinese Physics B》 SCIE EI CAS CSCD 2016年第1期384-389,共6页
Superconducting nanowire single photon detector (SNSPD), as a new type of superconducting single photon detector (SPD), has a broad application prospect in quantum communication and other fields. In order to prepa... Superconducting nanowire single photon detector (SNSPD), as a new type of superconducting single photon detector (SPD), has a broad application prospect in quantum communication and other fields. In order to prepare SNSPD with high performance, it is necessary to fabricate a large area of uniform meander nanowires, which is the core of the SNSPD. In this paper, we demonstrate a process of patterning ultra-thin NbN films into meander-type nanowires by using the nano- imprint technology. In this process, a combination of hot embossing nano-imprint lithography (HE-NIL) and ultraviolet nano-imprint lithography (UV-NIL) is used to transfer the meander nanowire structure from the NIL Si hard mold to the NbN film. We have successfully obtained a NbN nanowire device with uniform line width. The critical temperature (Tc) of the superconducting NbN meander nanowires is about 5 K and the critical current (lc) is about 3.5 μA at 2.5 K. 展开更多
关键词 nano-imprint lithography meander nanowires ultra-thin NbN films
下载PDF
The study of lithographic variation in resistive random access memory
2
作者 Yuhang Zhang Guanghui He +2 位作者 Feng Zhang Yongfu Li Guoxing Wang 《Journal of Semiconductors》 EI CAS CSCD 2024年第5期69-79,共11页
Reducing the process variation is a significant concern for resistive random access memory(RRAM).Due to its ultrahigh integration density,RRAM arrays are prone to lithographic variation during the lithography process,... Reducing the process variation is a significant concern for resistive random access memory(RRAM).Due to its ultrahigh integration density,RRAM arrays are prone to lithographic variation during the lithography process,introducing electrical variation among different RRAM devices.In this work,an optical physical verification methodology for the RRAM array is developed,and the effects of different layout parameters on important electrical characteristics are systematically investigated.The results indicate that the RRAM devices can be categorized into three clusters according to their locations and lithography environments.The read resistance is more sensitive to the locations in the array(~30%)than SET/RESET voltage(<10%).The increase in the RRAM device length and the application of the optical proximity correction technique can help to reduce the variation to less than 10%,whereas it reduces RRAM read resistance by 4×,resulting in a higher power and area consumption.As such,we provide design guidelines to minimize the electrical variation of RRAM arrays due to the lithography process. 展开更多
关键词 layout lithography process variation resistive random access memory
下载PDF
Simulation research on surface growth process of positive and negative frequency detuning chromium atom lithographic gratings
3
作者 尹志珺 唐朝辉 +9 位作者 谭文 肖光旭 姚玉林 薛栋柏 顾振杰 雷李华 顿雄 邓晓 程鑫彬 李同保 《Chinese Physics B》 SCIE EI CAS CSCD 2023年第10期367-376,共10页
Chromium atom photolithography gratings are a promising technology for the development of nanoscale length standard substances due to their high accuracy,uniformity,and consistency.However,the inherent difference betw... Chromium atom photolithography gratings are a promising technology for the development of nanoscale length standard substances due to their high accuracy,uniformity,and consistency.However,the inherent difference between the interaction of positive and negative frequency detuning standing wave field and the atoms can cause a difference in the adjacent peak-to-valley heights of the grating in positive and negative frequency detuning chromium atom lithography,which greatly reduces its accuracy.In this study,we performed a controlled variable growth simulation using the semi-classical theoretical model and Monte Carlo method with trajectory tracking and ballistic deposition methods to investigate the influence of key experimental parameters on the surface growth process of positive and negative frequency detuning atomic lithography gratings.We established a theoretical model based on simulation results and summarized empirical equations to guide the selection of experimental parameters.Our simulations achieved uniform positive and negative frequency detuning atomic lithography gratings with a period of 1/4 of the wavelength corresponding to the atomic transition frequency,and adjacent peak-to-valley heights differing by no more than 2 nm,providing an important theoretical reference for the controllable fabrication of these gratings. 展开更多
关键词 self-traceable grating atom lithography positive and negative frequency detuning surface growth
下载PDF
面向三重版图曝光约束的详细布线算法
4
作者 梁小宇 孙若涵 +1 位作者 徐宁 张亚东 《计算机辅助设计与图形学学报》 EI CSCD 北大核心 2024年第4期575-581,共7页
在进一步缩小特征尺寸的问题上,三重版图曝光技术(triplepatterninglithography,TPL)发挥着重要的作用.针对TPL中的版图分解问题,提出了一种基于TPL约束的详细布线算法.将版图分解问题转化为满足同色间距约束和最小间距约束的详细布线问... 在进一步缩小特征尺寸的问题上,三重版图曝光技术(triplepatterninglithography,TPL)发挥着重要的作用.针对TPL中的版图分解问题,提出了一种基于TPL约束的详细布线算法.将版图分解问题转化为满足同色间距约束和最小间距约束的详细布线问题,使用网格编码的方法来满足2种间距约束;利用与2种间距约束相结合的Hannan网格来提升布线资源的利用率以及布线的速度;结合多源迪杰斯特拉算法进行多端线网的最短路径搜索;最后将布线结果进行版图分解,实现最小化冲突数量和缝合点数量的目标.算法在2.20 GHzCPU和32 GB内存的Ubuntu20.04环境下运行,使用2018年ISPD详细布线比赛的测试集.实验结果表明,与普通详细布线相比,可降低约60%的冲突数量以及70%的缝合点数量. 展开更多
关键词 三重版图曝光 版图分解 详细布线 缝合点
下载PDF
基于掩模光刻的液晶波前校正器设计与制备
5
作者 杜莹 陈梅蕊 +5 位作者 刘禹彤 曹宗新 毛红敏 李小平 孙会娟 曹召良 《中国光学(中英文)》 EI CAS CSCD 北大核心 2024年第2期324-333,共10页
液晶波前校正器通常基于液晶显示器的工艺制备而成,因此其研制成本高、定制难度大。本文基于掩模光刻法制备液晶波前校正器,以实现液晶波前校正器的专用化、低成本研制。基于掩模光刻技术设计并制备了91像素的无源液晶驱动电极,并封装... 液晶波前校正器通常基于液晶显示器的工艺制备而成,因此其研制成本高、定制难度大。本文基于掩模光刻法制备液晶波前校正器,以实现液晶波前校正器的专用化、低成本研制。基于掩模光刻技术设计并制备了91像素的无源液晶驱动电极,并封装成液晶光学校正单元。设计并制备了驱动连接电路板,实现了液晶光学驱动单元和驱动电路板的匹配对接。对液晶波前校正器响应特性进行检测。结果显示,其相位调制量为5.5个波长,响应时间为224 ms。利用Zygo干涉仪进行球面波的产生和静态倾斜像差的校正。结果显示,其可以产生正负离焦波前,且对水平倾斜像差校正后,Zernike多项式中第一项的值从1.18降至0.16,校正幅度达86%,实现了像差的有效校正。本文的研究工作可为液晶波前校正器的研制提供新思路,进而拓宽其应用领域和场景。 展开更多
关键词 液晶波前校正器 掩模光刻 波前 响应特性 像差校正
下载PDF
新型锑氧簇光刻胶的性能与机理研究
6
作者 司友明 郑凌峰 +2 位作者 陈鹏忠 樊江莉 彭孝军 《化工学报》 EI CSCD 北大核心 2024年第4期1705-1717,共13页
随着半导体行业集成度越来越高,对光刻材料提出了更高的要求。近年来,金属氧簇光刻胶由于尺寸小、结构设计灵活,得到了广泛的研究。目前锑基金属光刻胶仅局限于含锑配合物。开发出新型锑氧簇光刻胶,通过对比金属有机组装Sb_(4)O-1与自组... 随着半导体行业集成度越来越高,对光刻材料提出了更高的要求。近年来,金属氧簇光刻胶由于尺寸小、结构设计灵活,得到了广泛的研究。目前锑基金属光刻胶仅局限于含锑配合物。开发出新型锑氧簇光刻胶,通过对比金属有机组装Sb_(4)O-1与自组装Sb_(4)O-2的溶解度差异说明自组装策略优势。原子力显微镜证实Sb_(4)O-2光刻胶可形成光滑薄膜,并获得低粗糙度值(均方根粗糙度<0.3 nm)。电子束光刻(EBL)证明Sb_(4)O-2光刻胶优异的图案化能力(线宽<50 nm),理论计算支持X射线光电子能谱(XPS)分析的新型自组装Sb_(4)O-2“配体解离”机制。 展开更多
关键词 锑氧簇 自组装 光刻胶 理论计算 电子束光刻 成像 溶解性 纳米材料
下载PDF
晶圆级薄膜铌酸锂波导制备工艺与性能表征
7
作者 叶志霖 李世凤 +5 位作者 崔国新 尹志军 王学斌 赵刚 胡小鹏 祝世宁 《人工晶体学报》 CAS 北大核心 2024年第3期426-433,共8页
随着光子集成和光通信技术的快速发展,低损耗波导是实现高效光子传输的关键元件,其性能直接影响整个集成芯片的性能。因此,低损耗波导的制备技术是当前铌酸锂集成光子技术研究的热点和难点。本研究针对晶圆级低损耗薄膜铌酸锂波导的制... 随着光子集成和光通信技术的快速发展,低损耗波导是实现高效光子传输的关键元件,其性能直接影响整个集成芯片的性能。因此,低损耗波导的制备技术是当前铌酸锂集成光子技术研究的热点和难点。本研究针对晶圆级低损耗薄膜铌酸锂波导的制备工艺进行了深入研究,在4英寸的薄膜铌酸锂晶圆上,基于深紫外光刻和电感耦合等离子体刻蚀技术,成功制备出了传输损耗低于0.15 dB/cm的波导,同时刻蚀深度误差控制在10%以内,极大地提高了波导结构的精确度。此外,本研究还提出了一种基于微环谐振腔的晶圆上波导损耗的表征方案,能更精确地评估波导性能。通过测试,发现所制备的波导合格率超过85%,显示出良好的可重复性和可靠性。本文中发展的晶圆级薄膜铌酸锂加工工艺,对推进铌酸锂波导的大规模制备和应用具有重要意义。 展开更多
关键词 薄膜铌酸锂 晶圆级加工 波导损耗测量 深紫外光刻 ICP刻蚀 集成光子技术
下载PDF
近代雕版翻刻新式印刷书籍考论
8
作者 孙云霄 《出版科学》 北大核心 2024年第1期99-107,共9页
中国近代出现的使用雕版翻刻新式印刷书籍的现象,是新、旧印刷技术和出版产业过渡阶段的特殊情形,它在一定程度上为现代出版企业力所不及的偏远落后地区带来新风,补充了当地贫匮的教育资源。通过借助版本实物和文献资料,系统考察了这一... 中国近代出现的使用雕版翻刻新式印刷书籍的现象,是新、旧印刷技术和出版产业过渡阶段的特殊情形,它在一定程度上为现代出版企业力所不及的偏远落后地区带来新风,补充了当地贫匮的教育资源。通过借助版本实物和文献资料,系统考察了这一现象的时间跨度与地理分布,以及所翻刻新式书籍的种类特点。传统的雕版印刷业在外来文化和技术的冲击下,一方面要与新式印刷业发生碰撞、竞争,另一方面也会努力融入和利用新文化流行的大势,但由于效率低下,在社会日新月异、民众对新闻新知需求日益迫切的情况下,最终退出历史舞台。 展开更多
关键词 近代 石印 铅印 印刷业 雕版翻刻
下载PDF
Patterning single-layer materials by electrical breakdown using atomic force microscopy
9
作者 Yajie Yang Jiajia Lu +1 位作者 Yanbo Xie Libing Duan 《Nanotechnology and Precision Engineering》 EI CAS CSCD 2024年第1期71-77,共7页
The development of nanoelectronics and nanotechnologies has been boosted significantly by the emergence of 2D materials because of their atomic thickness and peculiar properties,and developing a universal,precise patte... The development of nanoelectronics and nanotechnologies has been boosted significantly by the emergence of 2D materials because of their atomic thickness and peculiar properties,and developing a universal,precise patterning technology for single-layer 2D materials is critical for assembling nanodevices.Demonstrated here is a nanomachining technique using electrical breakdown by an AFM tip to fabricate nanopores,nanostrips,and other nanostructures on demand.This can be achieved by voltage scanning or applying a constant voltage while moving the tip.By measuring the electrical current,the formation process on single-layer materials was shown quantitatively.The present results provide evidence of successful pattern fabrication on single-layer MoS2,boron nitride,and graphene,although further confirmation is still needed.The proposed method holds promise as a general nanomachining technology for the future. 展开更多
关键词 2D material NANOPATTERN AFM Electrical breakdown lithography
下载PDF
大功率808 nm分布反馈激光器阵列研制
10
作者 孙春明 朱振 +4 位作者 任夫洋 陈康 苏建 夏伟 徐现刚 《固体电子学研究与进展》 CAS 2024年第1期72-76,共5页
为了提高808 nm大功率半导体激光器阵列的波长稳定性,提出了带有二阶布拉格光栅的大功率宽条型808 nm分布反馈激光器阵列。相比于传统的一阶布拉格光栅,其可以显著抑制简并纵模的产生,提高器件的波长锁定范围。借助于金属有机化学气相... 为了提高808 nm大功率半导体激光器阵列的波长稳定性,提出了带有二阶布拉格光栅的大功率宽条型808 nm分布反馈激光器阵列。相比于传统的一阶布拉格光栅,其可以显著抑制简并纵模的产生,提高器件的波长锁定范围。借助于金属有机化学气相沉积、全息光刻、干法刻蚀以及湿法腐蚀等工艺,完成了器件的制备,并且在准连续条件(200 A、200μs、20 Hz)下,对所制备的激光器阵列进行了不同温度下的性能测试。测试结果表明:器件峰值输出功率可达到190 W,光电转换效率超过55%,光谱半高宽为0.6 nm,温漂系数为0.06 nm/K,波长锁定范围达到125℃(-35~90℃)。另外,对其进行了老化考评,结果显示,老化2 000 h后峰值功率衰减小于4%。 展开更多
关键词 温漂系数 二次外延 全息光刻 二阶光栅 激光器阵列
下载PDF
无脉冲微流控片上蠕动微泵研制及其性能研究
11
作者 张鑫杰 包洋 +2 位作者 刘宇洋 朱行杰 陈亚伟 《中南大学学报(自然科学版)》 EI CAS CSCD 北大核心 2024年第4期1351-1360,共10页
为解决微流体连续输送过程中存在的流动脉冲、精度低等问题,研制一种基于微流控技术的片上蠕动微泵。该微泵由微流控芯片和电机驱动系统组成,利用驱动系统中的滚子轴承连续挤压微流控芯片中的微流道,以实现微流体的精确泵送。为研究微... 为解决微流体连续输送过程中存在的流动脉冲、精度低等问题,研制一种基于微流控技术的片上蠕动微泵。该微泵由微流控芯片和电机驱动系统组成,利用驱动系统中的滚子轴承连续挤压微流控芯片中的微流道,以实现微流体的精确泵送。为研究微泵的流量特性,设计具有气腔稳流功能的微流道,采用光固化3D打印技术与模塑法制作微流控芯片样件;开发电机驱动系统,测试微泵在不同电机转速下的平均流量、总流量和瞬时流量,并将其流量特性与商业蠕动泵的流量特性进行对比。研究结果表明:随着电机转速增加,微泵的平均流量逐渐增大,瞬时流量误差逐渐减小;当电机转速大于60 r/min时,瞬时流量误差可控制在5%以内,其流量精度显著高于商业蠕动泵的流量精度;片上蠕动微泵可有效抑制微流体脉冲,提升微流体控制精度,在精密微流体输送中具有广阔的工程应用前景。 展开更多
关键词 微流控 片上蠕动微泵 无脉冲 气腔稳流 光固化3D打印
下载PDF
Design and tailoring of patterned ZnO nanostructures for perovskite light absorption modulation
12
作者 Haonan Si Xuan Zhao +1 位作者 Qingliang Liao Yue Zhang 《International Journal of Minerals,Metallurgy and Materials》 SCIE EI CAS CSCD 2024年第5期855-861,共7页
Lithography is a pivotal micro/nanomanufacturing technique,facilitating performance enhancements in an extensive array of devices,encompassing sensors,transistors,and photovoltaic devices.The key to creating highly pr... Lithography is a pivotal micro/nanomanufacturing technique,facilitating performance enhancements in an extensive array of devices,encompassing sensors,transistors,and photovoltaic devices.The key to creating highly precise,multiscale-distributed patterned structures is the precise control of the lithography process.Herein,high-quality patterned ZnO nanostructures are constructed by systematically tuning the exposure and development times during lithography.By optimizing these parameters,ZnO nanorod arrays with line/hole arrangements are successfully prepared.Patterned ZnO nanostructures with highly controllable morphology and structure possess discrete three-dimensional space structure,enlarged surface area,and improved light capture ability,which achieve highly efficient energy conversion in perovskite solar cells.The lithography process management for these patterned ZnO nanostructures provides important guidance for the design and construction of complex nanostructures and devices with excellent performance. 展开更多
关键词 lithography patterned ZnO nanorod arrays light absorption perovskite solar cell
下载PDF
微模具重复利用的高深宽比铜微结构微电铸复制技术
13
作者 苏少雄 孙云娜 +3 位作者 宋嘉诚 吴永进 姚锦元 丁桂甫 《微纳电子技术》 CAS 2024年第4期162-169,共8页
针对紫外线光刻、电铸成型和注塑(UV-LIGA)工艺的去胶难题,提出了一种以脱模代替去胶的改良工艺,用于批量制造高深宽比铜微结构。该工艺以可重复利用的硅橡胶软模具代替传统的SU-8光刻微模具,采用硅通孔(TSV)镀铜技术进行微电铸填充,然... 针对紫外线光刻、电铸成型和注塑(UV-LIGA)工艺的去胶难题,提出了一种以脱模代替去胶的改良工艺,用于批量制造高深宽比铜微结构。该工艺以可重复利用的硅橡胶软模具代替传统的SU-8光刻微模具,采用硅通孔(TSV)镀铜技术进行微电铸填充,然后通过直接脱模实现金属微结构的完全释放,既解决了去胶难题,又能够解决高深宽比微模具电铸因侧壁金属化导致的空洞包夹问题,同时可以大幅降低成套工艺成本。仿真和实验结果显示,热处理可以改善脱模效果,显著降低脱模损伤,支持微模具重复利用。采用初步优化的改良工艺已成功实现深宽比约3∶1的铜微结构的高精度复制。 展开更多
关键词 紫外线光刻、电铸成型和注塑(UV-LIGA)工艺 硅橡胶模具 硅通孔(TSV)镀铜 脱模 模具重复利用
下载PDF
基于软光刻的高分子生物材料表面图案化及改性研究进展
14
作者 管馨 翁鑫泽 +1 位作者 赖颖真 郑晓丹 《中国医药导报》 CAS 2024年第11期184-186,共3页
生物材料表面的微形貌通过模仿细胞外基质,对细胞的行为具有调控作用。本文基于聚二甲基硅氧烷软光刻技术,分析热塑性和非热塑性材料的理化和生物特性,阐述如何分别采用熔融浇铸和溶液浇注的方法进行单一或复合表面图案化制备,探讨如何... 生物材料表面的微形貌通过模仿细胞外基质,对细胞的行为具有调控作用。本文基于聚二甲基硅氧烷软光刻技术,分析热塑性和非热塑性材料的理化和生物特性,阐述如何分别采用熔融浇铸和溶液浇注的方法进行单一或复合表面图案化制备,探讨如何通过图案特性对组织工程细胞生物学行为产生影响。此外借鉴热塑性材料改性方法(表面化学修饰、表面微纳米级形貌修饰、表面生物涂层修饰)探讨如何在热塑性图案化结构的基础上赋予材料新的生物功能,为高分子生物材料表面的图案化和改性研究提供参考。 展开更多
关键词 图案化 软光刻 高分子生物材料 改性
下载PDF
纳米压印技术在太阳能电池中应用的研究进展
15
作者 李芳 张静 刘彦伯 《微纳电子技术》 CAS 2024年第4期49-62,共14页
对纳米压印技术原理、分类和不同领域的应用进行了简单阐述。总结了纳米压印技术在不同类型的太阳能电池,如晶硅太阳能电池、薄膜太阳能电池、聚合物太阳能电池及其他新型太阳能电池中的应用,并重点阐述了纳米压印技术在制备太阳能电池... 对纳米压印技术原理、分类和不同领域的应用进行了简单阐述。总结了纳米压印技术在不同类型的太阳能电池,如晶硅太阳能电池、薄膜太阳能电池、聚合物太阳能电池及其他新型太阳能电池中的应用,并重点阐述了纳米压印技术在制备太阳能电池减反膜、图案化衬底、图案化活性层和图案化电极等有效减少太阳能电池表面太阳光反射和大大提高太阳能电池光电转换效率方面的研究进展。最后,针对纳米压印技术在产业化中所面临的困难进行了分析和总结,并提出了纳米压印技术在太阳能电池领域未来的研究重点和发展方向。 展开更多
关键词 纳米压印 太阳能电池 减反 图案化 光电转换效率
下载PDF
DB41/T2589—2024《增材制造立体光固化后处理工艺规程》标准解读
16
作者 赵智垒 靳逸飞 +1 位作者 丁永春 杨中桂 《中国标准化》 2024年第11期186-189,共4页
增材制造领域立体光固化(SLA)后处理工艺河南省地方标准DB41/T 2589—2024《增材制造立体光固化后处理工艺规程》于2024年2月正式发布,规定了立体光固化后处理工艺的基本要求、工艺过程及工艺评价,为立体光固化后处理工艺提供依据。本... 增材制造领域立体光固化(SLA)后处理工艺河南省地方标准DB41/T 2589—2024《增材制造立体光固化后处理工艺规程》于2024年2月正式发布,规定了立体光固化后处理工艺的基本要求、工艺过程及工艺评价,为立体光固化后处理工艺提供依据。本文介绍了该地方标准研制背景与意义、任务来源、编制原则及依据、制定过程,并详细解读了标准的主要内容,旨在促使相关方更好地理解该标准内容及使用该标准,推动该标准的广泛应用。 展开更多
关键词 增材制造 立体光固化 后处理 地方标准
下载PDF
可磁场调控的三维自组装结构及其应用
17
作者 张聪 李瑞 +1 位作者 张亚超 陈超 《传感器与微系统》 CSCD 北大核心 2024年第1期12-16,共5页
机械力诱导平面薄膜自组装为三维结构是一种重要的结构成型方法。本文通过飞秒激光将聚合物薄膜切割为二维图案化结构,并利用紫外光刻在二维结构上加工磁性层,随后经机械力诱导自组装为三维磁响应结构,并探讨了该方法制作的结构在仿生... 机械力诱导平面薄膜自组装为三维结构是一种重要的结构成型方法。本文通过飞秒激光将聚合物薄膜切割为二维图案化结构,并利用紫外光刻在二维结构上加工磁性层,随后经机械力诱导自组装为三维磁响应结构,并探讨了该方法制作的结构在仿生抓手、仿生舌头等方面的应用。仿真结果表明:通过有限元分析可精确预测二维平面结构在机械力诱导自组装后三维结构形貌。实验表明:三维结构依托其上磁性层可对外界磁场做出快速响应,实现对三维自组装结构的可逆非接触形貌调控。 展开更多
关键词 磁响应 自组装 飞秒激光 紫外光刻 仿生
下载PDF
ArF浸没式光刻胶用抗水涂层研究进展
18
作者 郑祥飞 徐亮 +3 位作者 陈侃 刘敬成 张家龙 陈韦帆 《涂料工业》 CAS CSCD 北大核心 2024年第4期74-81,共8页
氟化氩(ArF)浸没式光刻需在光刻胶表面形成抗水涂层,阻挡光刻胶和水之间组分交换。抗水涂层对光刻胶的分辨率、工艺窗口、低缺陷要求起着重要作用,平衡抗水涂层的疏水性和碱溶性是设计聚合物结构的重点和难点。分析了形成抗水涂层的方... 氟化氩(ArF)浸没式光刻需在光刻胶表面形成抗水涂层,阻挡光刻胶和水之间组分交换。抗水涂层对光刻胶的分辨率、工艺窗口、低缺陷要求起着重要作用,平衡抗水涂层的疏水性和碱溶性是设计聚合物结构的重点和难点。分析了形成抗水涂层的方法和成膜机理,对比了不同方法的优缺点,根据聚合物所含官能团及其碱溶性,对抗水涂层聚合物进行了分类总结,重点阐述抗水涂层聚合物的结构和性能要求,尤其关注了聚合物侧链的位阻效应和氢键作用对涂层的疏水性影响。最后对抗水涂层的应用和发展进行了展望。 展开更多
关键词 ArF浸没式光刻 抗水涂层 疏水和碱溶性 聚合物结构
下载PDF
基于激光干涉光刻技术的产品防伪包装设计研究
19
作者 张蔚 《激光杂志》 CAS 北大核心 2024年第4期248-253,共6页
为了提高产品防伪包装设计效果,提出基于激光干涉光刻技术的产品防伪包装设计方法。利用光纤耦合激光传输控制多光束干涉信息,基于光纤波导分束形成技术,集成处理光束传播路径,基于光束振幅、相位和偏振联合调制方法,提取产品防伪包装... 为了提高产品防伪包装设计效果,提出基于激光干涉光刻技术的产品防伪包装设计方法。利用光纤耦合激光传输控制多光束干涉信息,基于光纤波导分束形成技术,集成处理光束传播路径,基于光束振幅、相位和偏振联合调制方法,提取产品防伪包装的防伪状态特征量,基于振幅型或相位型的微结构衍射单元阵列传输方法,构建激光干涉光刻模型,实现四光束、五光束和六光束的多光束激光防伪。测试结果表明,所提方法的被篡改率最低为0.05%,解密时间较短,均在30 ms左右,MSE为0.673%,PSNR为47.40 dB,SSIM为0.975,采用该方法进行产品防伪包装设计,提高了产品的激光防伪能力,降低被篡改率。 展开更多
关键词 激光干涉光刻技术 产品防伪包装设计 光束传播 多光束激光
下载PDF
高阶参数的实时反馈技术对套刻误差的优化
20
作者 余洋 董佳 袁伟 《集成电路应用》 2024年第4期372-374,共3页
阐述套刻误差补偿的常用分析模型,介绍挂载高阶套刻子处方(EEXY)是目前高阶参数补偿在光刻机端实现的主要形式。对比基于ASML LIS的EEXY实时反馈(LIS Run-to-Run)与传统基于APC的线性10参数实时反馈,以及目前先进节点普遍采用的10par Ru... 阐述套刻误差补偿的常用分析模型,介绍挂载高阶套刻子处方(EEXY)是目前高阶参数补偿在光刻机端实现的主要形式。对比基于ASML LIS的EEXY实时反馈(LIS Run-to-Run)与传统基于APC的线性10参数实时反馈,以及目前先进节点普遍采用的10par Run-to-Run+静态EEXY反馈的方法对套刻误差的影响。分析表明,高阶套刻参数实时反馈相对于其他两种方案,无论是X方向还是Y方向,套刻误差均有明显的改善。 展开更多
关键词 光刻机 套刻 高阶参数 线性参数
下载PDF
上一页 1 2 123 下一页 到第
使用帮助 返回顶部