期刊文献+
共找到25篇文章
< 1 2 >
每页显示 20 50 100
基于FPGA片上PicoBlaze的煤矿监测系统 被引量:4
1
作者 董新伟 许敏 +1 位作者 顾丹虹 王海鸿 《煤矿机械》 北大核心 2013年第7期257-259,共3页
提出一种优化煤矿监测系统控制器的方法,即采用高性能低成本的PicoBlaze处理器。详细阐述了以PicoBlaze为软核的煤矿监测系统开发过程,包括硬件架构设计、汇编设计、仿真方法等。通过对井下传感器采集上传的数据进行处理,发出控制命令,... 提出一种优化煤矿监测系统控制器的方法,即采用高性能低成本的PicoBlaze处理器。详细阐述了以PicoBlaze为软核的煤矿监测系统开发过程,包括硬件架构设计、汇编设计、仿真方法等。通过对井下传感器采集上传的数据进行处理,发出控制命令,实现安全监测。为建立节约高效的煤矿监测系统提供了一种新的思路。 展开更多
关键词 picoblaze FPGA 控制器 煤矿监测
下载PDF
嵌入式微控制器PicoBlaze的PLD应用研究 被引量:1
2
作者 古世甫 游志宇 +1 位作者 杜杨 董秀成 《四川理工学院学报(自然科学版)》 CAS 2009年第1期87-91,共5页
摘要:PicoBlaze是Xilinx公司开发的嵌入式8位微控制器IP核。在简要介绍PicoBlaze结构原理、性能和基本开发流程的基础上,重点论述了PicoBlaze的PLD实现方法,并在MAXII570T144试验板上进行应用验证。同时对PicoBlaze核存在的Bug提出适当... 摘要:PicoBlaze是Xilinx公司开发的嵌入式8位微控制器IP核。在简要介绍PicoBlaze结构原理、性能和基本开发流程的基础上,重点论述了PicoBlaze的PLD实现方法,并在MAXII570T144试验板上进行应用验证。同时对PicoBlaze核存在的Bug提出适当的解决方法。 展开更多
关键词 微控制器 picoblaze PLD MAXII VHDL
下载PDF
8位CPU IP核PicoBlaze的应用研究 被引量:2
3
作者 宋鹏 葛保佳 《测控技术》 CSCD 2005年第12期60-63,共4页
PicoBlaze是Xilinx公司开发的8位CPU IP核。在简要介绍PicoBlaze结构基础上,重点论述了Pi- coBlaze的应用方法,并给出了其在IC卡电度表中控制功能的应用实例。
关键词 FPGA picoblaze IC卡
下载PDF
基于PicoBlaze软核的TFT液晶显示控制 被引量:1
4
作者 周新发 郑嘉平 +1 位作者 魏厚刚 张开锋 《单片机与嵌入式系统应用》 2011年第2期36-38,共3页
介绍基于8位嵌入式软核PicoBlaze的SOPC的设计方法,提出一种基于PicoBlaze软核的TFT液晶显示控制方案,并给出了软硬件设计方法。实验结果表明,该方案占用资源少,运行速度快,可以为FPGA驱动TFT液晶提供设计参考。
关键词 picoblaze 软核 SOPC FPGA TFT液晶
下载PDF
基于FPGA片上8位CPU软核Picoblaze的USB接口设计 被引量:1
5
作者 张飞 王焕玉 《电子设计应用》 2010年第Z1期85-86,90,共3页
本文介绍了一种高效、低资源占用的赛灵思FPGA片上8位软核Picoblaze的基本结构和主要功能,并给出了数据控制板中采用Picoblaze软核来进行系统设计的方案。
关键词 picoblaze CY7C68001 USB
下载PDF
基于PicoBlaze的Nand Flash控制器的实现
6
作者 王玺 李楠 +1 位作者 徐欣 邵贝贝 《微处理机》 2009年第3期1-3,6,共4页
提出了一种基于PicoBlaze的Nand Flash控制器的实现方法。PicoBlaze是Xilinx公司开发的8位嵌入式微控制器IP核。首先介绍了PicoBlaze的概念和实现方式,接着详细描述了基于PicoBlaze核心的控制器对Nand Flash的读取,写入,擦除的具体操作... 提出了一种基于PicoBlaze的Nand Flash控制器的实现方法。PicoBlaze是Xilinx公司开发的8位嵌入式微控制器IP核。首先介绍了PicoBlaze的概念和实现方式,接着详细描述了基于PicoBlaze核心的控制器对Nand Flash的读取,写入,擦除的具体操作。该实现方法具有低成本,易实现的优点。 展开更多
关键词 picoblaze控制器 NAND FLASH存储器 控制器
下载PDF
8位嵌入式微控制器PicoBlaze的应用 被引量:1
7
作者 蔡超 《福建电脑》 2007年第12期158-159,共2页
介绍了Xilinx公司的8位微控制器软核PicoBlaze的结构、性能和基本开发流程;设计实现了基于PicoBlaze微控制器的串行异步通讯接口,并通过Spartan-3E Starter Kit开发板和计算机验证了设计的正确性。
关键词 picoblaze UART Spartan-3E
下载PDF
基于PicoBlaze的SPI核设计与实现 被引量:2
8
作者 何志宏 丁楚平 吴峻 《微处理机》 2010年第1期86-89,共4页
随着现代电子技术的发展,FPGA在现代电子设计中发挥出越来越重要的作用,在FPGA设计中IP技术的应用也越来越广泛。首先介绍了PicoBlaze的工作原理及PicoBlaze软核的设计方法,接着提出了一种基于PicoBlaze的SPI核设计方法,并在Spartan-3E ... 随着现代电子技术的发展,FPGA在现代电子设计中发挥出越来越重要的作用,在FPGA设计中IP技术的应用也越来越广泛。首先介绍了PicoBlaze的工作原理及PicoBlaze软核的设计方法,接着提出了一种基于PicoBlaze的SPI核设计方法,并在Spartan-3E FPGA上完成了SPI核与ADS1210、AD7892之间的接口设计,进行了性能评估。该设计在工程实践中已经得到使用,并取得了很好的效果。 展开更多
关键词 同步串行通讯 picoblaze软核处理器 数据采集 现场可编程逻辑阵列
下载PDF
PicoBlaze处理器IP Core的原理与应用 被引量:7
9
作者 温广翔 徐欣 《单片机与嵌入式系统应用》 2003年第12期37-39,共3页
详细分析8位微处理器IPcorePicoBlaze的结构、原理与设计方法;介绍PicoBlaze的指令集和调试工具pblazeIDE,讨论PicoBlaze的编程方法和应用设计实例;列举几种PicoBlaze的应用方案。
关键词 8位微处理器 存储指令 算术逻辑单元 picoblaze处理器 IPCORE
下载PDF
基于PicoBlaze的多软核网络处理结构研究
10
作者 李虹霏 赵明 《计算机工程》 CAS CSCD 北大核心 2009年第7期85-86,89,共3页
介绍一种基于多个8位CPU软核PicoBlaze的网络处理结构。该结构利用现场可编程门阵列(FPGA)的并行性和网络协议分层结构的特点,可以实现一些相对复杂的网络处理功能,并且易于扩展和开发。通过在FPGA上完整实现一个远程启动服务器端的设... 介绍一种基于多个8位CPU软核PicoBlaze的网络处理结构。该结构利用现场可编程门阵列(FPGA)的并行性和网络协议分层结构的特点,可以实现一些相对复杂的网络处理功能,并且易于扩展和开发。通过在FPGA上完整实现一个远程启动服务器端的设计实例,阐明具体的设计方法。 展开更多
关键词 现场可编程门阵列 picoblaze软核处理器 多软核网络处理 远程启动
下载PDF
基于FPGA的PicoBlaze嵌入式通信系统设计 被引量:4
11
作者 张坤 《电子科技》 2013年第5期53-56,共4页
研究了应用常量编码可编程状态机(KCPSM)的PicoBlaze嵌入式通信系统设计,通过nrf2401数据传输模块,在Xilinx Spartan 3E FPGA上的实现数据的传输和显示。在研究了系统硬件的原理和功能的基础上,应用可编程状态机对FPGA的PicoBlaze IP核... 研究了应用常量编码可编程状态机(KCPSM)的PicoBlaze嵌入式通信系统设计,通过nrf2401数据传输模块,在Xilinx Spartan 3E FPGA上的实现数据的传输和显示。在研究了系统硬件的原理和功能的基础上,应用可编程状态机对FPGA的PicoBlaze IP核进行设计,利用IP Core对收发模块进行控制,实现了模拟数据和数字数据的通信。 展开更多
关键词 KCPSM FPGA IP CORE 嵌入式通信系统 picoblaze
下载PDF
基于PicoBlaze的GPS信号数据解调设计与实现
12
作者 胡永春 欧钢 +1 位作者 张建 陈亮 《舰船电子工程》 2010年第1期91-93,155,共4页
在简要介绍GPS信号数据解调算法的基础上,通过比较数据解调的几种实现方案的优缺点,提出了一种利用PicoBlaze处理器加专用硬件以时分复用的方式同时对12路GPS信号进行数据解调的方案,该方案设计灵活,资源占用少,实际测试验证了整个设计... 在简要介绍GPS信号数据解调算法的基础上,通过比较数据解调的几种实现方案的优缺点,提出了一种利用PicoBlaze处理器加专用硬件以时分复用的方式同时对12路GPS信号进行数据解调的方案,该方案设计灵活,资源占用少,实际测试验证了整个设计的有效性。 展开更多
关键词 GPS 数据解调 picoblaze 时分复用 FPGA
下载PDF
基于PicoBlaze的计时系统设计
13
作者 孙雪 孟宪义 丁承君 《微计算机信息》 2009年第23期22-24,共3页
本文基于FPGA的PicoBlaze嵌入式平台,开发了一种利用串口通信,可实时控制的计时器系统。分析了PicoBlaze和串口的结构、原理,提出了计时器的设计方案。基用Virtex-II Pro系列FPGA开发板设计了相应软件。实验结果表明,该计时系统有较高... 本文基于FPGA的PicoBlaze嵌入式平台,开发了一种利用串口通信,可实时控制的计时器系统。分析了PicoBlaze和串口的结构、原理,提出了计时器的设计方案。基用Virtex-II Pro系列FPGA开发板设计了相应软件。实验结果表明,该计时系统有较高的利用价值。 展开更多
关键词 FPGA picoblaze 串口通信 数字时钟管理
下载PDF
基于FPGA的Picoblaze核实现Modbus通信协议
14
作者 张晶磊 《电子元器件应用》 2010年第11期68-71,共4页
给出了一种在Xinlinx的Spartan-3E评估板上实现Modbus通信协议的方法。该方法以PC为上位机,并在评估板上嵌入Picoblaze软核作为下位机来实现Modbus通信协议的功能。文中同时介绍了使用Xilinx ISE和Picoblaze软核进行开发的方法。
关键词 MODBUS通信协议 picoblaze FPGA Spartan-3E评估板
下载PDF
基于PicoBlaze的UART控制器的研究与实现
15
作者 刘佳 《黑龙江科技信息》 2010年第11期61-62,共2页
针对嵌入式系统需求不断不增加,对FPGA的资源需求也不断膨胀。PicoBlaze微处理器是一种基于SpartanTM-3,VirtexTM-Ⅱ,and Vir-tex-Ⅱ ProTMFPGA的紧凑的、高性能低成本的8位处理器核。Picoblaze微处理器支持基于低成本控制及数据处理。
关键词 FPGA picoblaze 控制器
下载PDF
ML7204和PicoBlaze软核处理器实现低速话音编解码系统
16
作者 徐晓明 赵清潇 《电子设计工程》 2010年第4期136-138,141,共4页
语音信号压缩编码是数字语音信号处理的主要方面,在现有的语音编码中,G.729A算法在8 kb/s的码率下取得了较好的语音质量,具有广阔应用前景,因此提出采用PicoBlaze和ML7204实现G.729A语音压缩/解压详细的软硬件实现方案,并描述了G.729A... 语音信号压缩编码是数字语音信号处理的主要方面,在现有的语音编码中,G.729A算法在8 kb/s的码率下取得了较好的语音质量,具有广阔应用前景,因此提出采用PicoBlaze和ML7204实现G.729A语音压缩/解压详细的软硬件实现方案,并描述了G.729A语音编解码器ML7204的工作原理、性能、接口,以及FPGA内嵌IP核微处理器Pi-coBlaze的特点和使用方法。给出硬件电路设计原理,以及各部分的具体实现方法和原理图。并给出软件流程和主要代码。实验结果表明,系统提供话音点到点的时延仅为25 ms,而语音质量平均意见MOS值达到4.2,在可懂度和清晰度等性能优异,该系统设计可应用于无线移动网、数字多路复用系统和计算机通信系统。 展开更多
关键词 ML7204 低速话音压缩编码 微处理器picoblaze IP核
下载PDF
基于FPGA的恒温晶振频率校准系统的设计 被引量:19
17
作者 卢祥弘 陈儒军 何展翔 《电子技术应用》 北大核心 2010年第7期101-104,共4页
为满足三维大地电磁勘探技术对多个采集站的同步需求,基于FPGA设计了一种晶振频率校准系统。系统可以调节各采集站的恒温压控晶体振荡器同步于GPS,从而使晶振能够输出高准确度和稳定度的同步信号。系统中使用FPGA设计了高分辨率的时间... 为满足三维大地电磁勘探技术对多个采集站的同步需求,基于FPGA设计了一种晶振频率校准系统。系统可以调节各采集站的恒温压控晶体振荡器同步于GPS,从而使晶振能够输出高准确度和稳定度的同步信号。系统中使用FPGA设计了高分辨率的时间间隔测量单元,达到0.121 ns的测量分辨率,能对晶振分频信号与GPS秒脉冲信号的时间间隔进行高精度测量,缩短了频率校准时间。同时在FPGA内部使用PicoBlaze嵌入式软核处理器监控系统状态,并配合滑动平均滤波法对测量得到的时间间隔数据实时处理,有效地抑制了GPS秒脉冲波动对频率校准的影响。 展开更多
关键词 GPS 频率校准 时间间隔测量 FPGA picoblaze
下载PDF
基于SOPC的NAND FLASH测试平台 被引量:2
18
作者 步凯 王芹 徐欣 《兵工自动化》 2009年第3期68-69,75,共3页
一种基于SOPC可编程片上系统的NAND FLASH测试平台的实现方法。采用嵌入式软处理器核MicroBlaze以及基于PicoBlaze的NAND FLASH控制器,可以兼容多种类型的NAND FLASH,内置DMA逻辑,可实现高效测试。采用USB与PC连接,具有很好的通用性。
关键词 SOPC NAND FLASH控制器 picoblaze可编程控制器 USB控制器 均匀损耗
下载PDF
信号平均器实验教仪的专用多功能信号源设计
19
作者 李贺 秦永左 《科技资讯》 2012年第5期14-14,共1页
本文设计了一款用于辅助数字式多点信号平均器实验的多功能信号源。系统以XILINX FPGA芯片为核心,配合相关硬件电路并结合PicoBlaze软核,在ISE中采用VHDL和汇编语言进行编程实现。实验测试结果表明:该设计人机界面良好,操作简单方便,能... 本文设计了一款用于辅助数字式多点信号平均器实验的多功能信号源。系统以XILINX FPGA芯片为核心,配合相关硬件电路并结合PicoBlaze软核,在ISE中采用VHDL和汇编语言进行编程实现。实验测试结果表明:该设计人机界面良好,操作简单方便,能够为多点信号平均器提供高质量的输入波形信号,很好地辅助了教学实验。 展开更多
关键词 FPGA picoblaze ISE VHDL 汇编
下载PDF
一种集成式阻抗谱测量系统 被引量:8
20
作者 王达 王化祥 +2 位作者 崔自强 高振涛 种楠楠 《传感器与微系统》 CSCD 北大核心 2012年第12期94-96,100,共4页
针对传统阻抗测量仪器电路复杂、集成度低等问题,设计并实现了基于FPGA和AD5933的集成式阻抗谱测量系统。详细介绍了AD5933阻抗测量方法,FPGA系统架构及I2C IP Core工作机理。实验评估了系统的测量精度和信噪比等性能指标;通过对三元件... 针对传统阻抗测量仪器电路复杂、集成度低等问题,设计并实现了基于FPGA和AD5933的集成式阻抗谱测量系统。详细介绍了AD5933阻抗测量方法,FPGA系统架构及I2C IP Core工作机理。实验评估了系统的测量精度和信噪比等性能指标;通过对三元件生物阻抗模型的测量,进一步验证了系统测量的准确性。该系统具有体积小,功耗低,集成度高,抗干扰能力强,信噪比大于70 dB等特点,实现了阻抗谱测量系统的小型化。 展开更多
关键词 现场可编程门阵列 picoblaze微控制器 I2C总线 AD5933 阻抗测量
下载PDF
上一页 1 2 下一页 到第
使用帮助 返回顶部