期刊文献+
共找到220篇文章
< 1 2 11 >
每页显示 20 50 100
JAKSTAT信号传导通路特异抑制物—SOCS系统的发现及意义 被引量:9
1
作者 田志刚 《中国免疫学杂志》 CAS CSCD 北大核心 2000年第8期454-455,共2页
关键词 JAK/STAT 信号传导通路 肿瘤 socs系统 免疫疗法
下载PDF
基于SoC的非对称数字系统算法设计与实现
2
作者 姜智 肖昊 《合肥工业大学学报(自然科学版)》 CAS 北大核心 2024年第5期655-659,677,共6页
文章提出一种在片上系统(System on Chip,SoC)实现高吞吐率的有限状态熵编码(finite state entropy,FSE)算法。通过压缩率、速度、资源消耗、功耗4个方面对所提出的编码器和解码器与典型的硬件哈夫曼编码(Huffman coding,HC)进行性能比... 文章提出一种在片上系统(System on Chip,SoC)实现高吞吐率的有限状态熵编码(finite state entropy,FSE)算法。通过压缩率、速度、资源消耗、功耗4个方面对所提出的编码器和解码器与典型的硬件哈夫曼编码(Huffman coding,HC)进行性能比较,结果表明,所提出的硬件FSE编码器和解码器具有显著优势。硬件FSE(hFSE)架构实现在SoC的处理系统和可编程逻辑块(programmable logic,PL)上,通过高级可扩展接口(Advanced eXtensible Interface 4,AXI4)总线连接SoC的处理系统和可编程逻辑块。算法测试显示,FSE算法在非均匀数据分布和大数据量情况下,具有更好的压缩率。该文设计的编码器和解码器已在可编程逻辑块上实现,其中包括1个可配置的缓冲模块,将比特流作为单字节或双字节配置输出到8 bit位宽4096深度或16 bit位宽2048深度的块随机访问存储器(block random access memory,BRAM)中。所提出的FSE硬件架构为实时压缩应用提供了高吞吐率、低功耗和低资源消耗的硬件实现。 展开更多
关键词 有限状态熵编码(FSE) 哈夫曼编码(HC) 片上系统(soc) 高吞吐率 块随机访问存储器(BRAM)
下载PDF
新能源汽车动力电池SOC预测系统研究
3
作者 梁雪梅 陈小龙 蒲玖林 《汽车测试报告》 2023年第24期46-48,共3页
新时代下,新能源汽车动力电池SOC预测工作十分重要,并且SOC预测技术水平可反映出电池管理系统效用,并影响新能源汽车的续航里程。该文对新能源汽车动力电池SOC进行概述,分析基于模糊逻辑方法的SOC预测方法,对SOC预测系统的软硬件进行分... 新时代下,新能源汽车动力电池SOC预测工作十分重要,并且SOC预测技术水平可反映出电池管理系统效用,并影响新能源汽车的续航里程。该文对新能源汽车动力电池SOC进行概述,分析基于模糊逻辑方法的SOC预测方法,对SOC预测系统的软硬件进行分析,并通过试验结果分析验证基于模糊逻辑方法的SOC预测系统的效用。 展开更多
关键词 新能源汽车 动力电池 soc预测系统
下载PDF
基于无迹卡尔曼航空锂电池的SOC估算系统 被引量:8
4
作者 王顺利 谢非 +2 位作者 陈蕾 张丽 王露 《制造业自动化》 CSCD 2018年第2期65-69,共5页
针对放电条件下,航空锂电池的放电情况,考虑了电流及温度对极化参数的影响的电池模型。电池电荷状态(State of Charge,SOC)对于电池是十分重要的性能,为了精准估计航空锂电池的电池电荷状态(State of Charge,SOC),尝试使用无迹卡尔曼滤... 针对放电条件下,航空锂电池的放电情况,考虑了电流及温度对极化参数的影响的电池模型。电池电荷状态(State of Charge,SOC)对于电池是十分重要的性能,为了精准估计航空锂电池的电池电荷状态(State of Charge,SOC),尝试使用无迹卡尔曼滤波(UKF)对航空锂电池的SOC进行估算,无迹卡尔曼滤波是一种新型的滤波估计算法。UKF以无损变换变换为基础,摒弃了对非线性函数进行线性化的传统做法,采用卡尔曼线性滤波框架,对于一步预测方程,使用无迹(UT)变换来处理均值和协方差的非线性传递,就成为UKF算法。UKF是对非线性函数的概率密度分布进行近似,用一系列确定样本来逼近状态的后验概率密度,而不是对非线性函数进行近似,不需要求导计算Jacobian矩阵。UKF没有线性化忽略高阶项,因此非线性分布统计量的计算精度较高。在simulink上建立航空锂电池的等效电路模型,进行仿真实验。仿真实验表明该算法SOC估算精度误差稳定在百分之五左右。 展开更多
关键词 航空锂电池 soc估算系统 无迹卡尔曼滤波 Thevenin模型
下载PDF
基于可配置处理器的SoC系统级设计方法 被引量:5
5
作者 邵洋 单睿 +1 位作者 张铁军 侯朝焕 《计算机工程与应用》 CSCD 北大核心 2006年第26期96-98,共3页
论文对一种经过改进的SoC系统级快速设计方法进行了介绍和研究。该设计基于可配置处理器核,在设计早期阶段对SoC系统快速建模,以获得针对具体应用算法的最优性能。同时,利用软硬件协同设计方法,得到硬件结构模型和软件开发平台。实验结... 论文对一种经过改进的SoC系统级快速设计方法进行了介绍和研究。该设计基于可配置处理器核,在设计早期阶段对SoC系统快速建模,以获得针对具体应用算法的最优性能。同时,利用软硬件协同设计方法,得到硬件结构模型和软件开发平台。实验结果表明,该方法不仅灵活,而且设计周期短,减少了设计工作量。 展开更多
关键词 片上系统soc 系统级设计 软硬件协同设计 指令集扩展
下载PDF
基于C8051 F020 SOC芯片的系统温度测控的实现 被引量:6
6
作者 盛赛斌 李必成 肖城 《仪表技术与传感器》 CSCD 北大核心 2005年第2期39-40,共2页
基于C8051F020数模混合SOC芯片的固有硬件特点,提出了一种新的系统温度测控软硬件实现方法。经过适当的数字信号处理和数值转换,能够达到较满意的系统温度测控目的。在功能实现中,采用了基于硬件的ADC可编程窗口检测器进行过限值报警,... 基于C8051F020数模混合SOC芯片的固有硬件特点,提出了一种新的系统温度测控软硬件实现方法。经过适当的数字信号处理和数值转换,能够达到较满意的系统温度测控目的。在功能实现中,采用了基于硬件的ADC可编程窗口检测器进行过限值报警,提高系统的实时性。同时,提供了多种冷却风扇的控制方式,进一步提升了芯片温度测控功能的应用价值。 展开更多
关键词 温度传感器 片上系统(soc) 测控
下载PDF
基于平台的SoC系统建模方法研究 被引量:2
7
作者 熊志辉 李思昆 +1 位作者 陈吉华 张鲁峰 《计算机工程与科学》 CSCD 2005年第8期56-59,共4页
面向基于平台的SoC设计方法,本文提出约束任务流图模型(CTG)作为SoC系统模型,提出了基于平台的CTG SoC系统建模方法,实现了SoC系统建模环境CPSME.CTG模型是一种可变粒度的SoC系统模型,可同时描述系统任务的功能和性能.本文基于平台的CTG... 面向基于平台的SoC设计方法,本文提出约束任务流图模型(CTG)作为SoC系统模型,提出了基于平台的CTG SoC系统建模方法,实现了SoC系统建模环境CPSME.CTG模型是一种可变粒度的SoC系统模型,可同时描述系统任务的功能和性能.本文基于平台的CTG SoC系统建模方法支持CTG模型的系统级重用与定制,有效提高了系统建模效率.在CPSME环境下进行MP3播放器和MPEG2播放器的SoC系统建模实践表明,新方法的模型重用率可达到75%~90%. 展开更多
关键词 基于平台的设计 约束任务流图模型 soc系统建模
下载PDF
基于IEEE 1500的数字SOC测试系统的设计与实现 被引量:1
8
作者 陈寿宏 颜学龙 陈凯 《计算机测量与控制》 北大核心 2013年第5期1140-1142,共3页
IEEE 1500为核供应者与核应用者提供接口,可有效实现测试电路复用。简要分析IEEE 1500标准,包括核测试壳Wrapper及核测试语言(CTL)两者的结构和特点;论述基于IEEE 1500的数字SOC测试系统的总体设计目标,设计了测试系统的软硬件体系结构... IEEE 1500为核供应者与核应用者提供接口,可有效实现测试电路复用。简要分析IEEE 1500标准,包括核测试壳Wrapper及核测试语言(CTL)两者的结构和特点;论述基于IEEE 1500的数字SOC测试系统的总体设计目标,设计了测试系统的软硬件体系结构,并构建了测试系统;通过DEMO电路测试验证,系统可正确实现扫描链完备性测试、核功能内测试及核互连测试,表明系统工作稳定,通用性强。 展开更多
关键词 IEEE 1500 soc测试系统
下载PDF
SoC系统的低功耗设计 被引量:5
9
作者 张天骐 林孝康 余翔 《单片机与嵌入式系统应用》 2004年第6期17-19,20,共4页
功耗问题正日益变成VLSI系统实现的一个限制因素。对便携式应用来说,其主要原因在于电池寿命,对固定应用则在于最高工作温度。由于电子系统设计的复杂度在日益提高,导致系统的功耗变得越来越重要,因此,低功耗设计技术应运而生。本文首... 功耗问题正日益变成VLSI系统实现的一个限制因素。对便携式应用来说,其主要原因在于电池寿命,对固定应用则在于最高工作温度。由于电子系统设计的复杂度在日益提高,导致系统的功耗变得越来越重要,因此,低功耗设计技术应运而生。本文首先分析CMOS集成电路的功耗物理组成,得到其主要功耗成分。其次,以该主要功耗成分数学表达式为依据,突出实现SoC低功耗设计的各种级别层次的不同方法。 展开更多
关键词 soc系统 低功耗设计 VLSI系统 CMOS集成电路 内部短路功耗 后端综合 布线优化 RTL级代码
下载PDF
一种适合于SOC系统的JTAG扩展化可测性设计
10
作者 虞志益 雷健飞 +1 位作者 李文宏 章倩苓 《固体电子学研究与进展》 CAS CSCD 北大核心 2003年第1期62-66,共5页
随着集成电路系统复杂性的提高及基于 IP核的 SOC系统的出现 ,电路测试的难度不断增大 ,对电路可测性设计提出了更高的要求。文中在研究了现有各种可测性设计方法优劣后提出了扩展化的 JTAG可测性设计电路 ,它在稍增加电路复杂度的情况... 随着集成电路系统复杂性的提高及基于 IP核的 SOC系统的出现 ,电路测试的难度不断增大 ,对电路可测性设计提出了更高的要求。文中在研究了现有各种可测性设计方法优劣后提出了扩展化的 JTAG可测性设计电路 ,它在稍增加电路复杂度的情况下融合各测试方法 ,并提出了利用这种测试电路的 IC系统测试方案。它克服了测试基于 IP核的 SOC系统的一些难点。 展开更多
关键词 soc系统 扩展化 JTAG 可测性设计 集成电路
下载PDF
用户可重构系统芯片-U-SoC 被引量:1
11
作者 李丽 何书专 +1 位作者 许居衍 宋宇鲲 《电子产品世界》 2003年第2期51-54,60,共5页
随着深亚微米技术(DSM)的不断发展,完全专用的系统芯片(SoC)已经面临新的问题和挑战.本文在研究硅技术发展趋势、硅产品特征循环规律以及硅产业结构演变规律的基础上,提出了一种具有一定'通用'性的用户可重构系统芯片(Userrecon... 随着深亚微米技术(DSM)的不断发展,完全专用的系统芯片(SoC)已经面临新的问题和挑战.本文在研究硅技术发展趋势、硅产品特征循环规律以及硅产业结构演变规律的基础上,提出了一种具有一定'通用'性的用户可重构系统芯片(UserreconfigurableSoC,简称U-SoC),它通过用户重构功能降低新产品的开发成本,缩短上市周期,提高设计效率,从而增强了SoC的适应性和灵活性.研究U-SoC设计方法,对于加速我国微电子产业的发展进程,实现跨越式发展有重要作用. 展开更多
关键词 用户可重构系统芯片(U-soc) 系统芯片(soc) 可重构(Re-Configurable)
下载PDF
图像采集压缩SOC系统在FPGA中的实现 被引量:1
12
作者 邱雪松 姚公建 《今日电子》 2007年第10期86-88,共3页
图像采集和处理已经成为了现代工业控制中必不可少的环节。传统的方法一般采用的是图像采集卡加工控机来实现整个系统。但随着嵌入式技术的发展,芯片的性能大大增强,嵌入式系统在工业控制系统中普及。作为前端的图像采集系统此时就不... 图像采集和处理已经成为了现代工业控制中必不可少的环节。传统的方法一般采用的是图像采集卡加工控机来实现整个系统。但随着嵌入式技术的发展,芯片的性能大大增强,嵌入式系统在工业控制系统中普及。作为前端的图像采集系统此时就不适宜再以图像采集卡的形式出现,而应当以更加简捷,方便的接口与主系统相连。 展开更多
关键词 图像采集卡 soc系统 FPGA 工业控制系统 压缩 图像采集系统 嵌入式技术 嵌入式系统
下载PDF
面向SOC系统设计的层次化CDFG的扩展
13
作者 刘志鹏 边计年 +1 位作者 王云峰 薛宏熙 《计算机工程与科学》 CSCD 2005年第4期46-48,95,共4页
本文主要介绍了层次化CDFG中标准控制结构和并行结构的扩充及转换方法,从而为在高层次 SOC设计中真正使用HCDFG提供了基础。同时,文中还给出了HCDFG在系统验证中的作用,它为不同方法的混合验证提供了统一而有效的内部结构。
关键词 数据结构 soc系统 系统设计 CDFG 层次化 CASE
下载PDF
基于IP的系统芯片(SOC)设计 被引量:2
14
作者 薛严冰 徐晓轩 《信息技术》 2004年第10期62-64,69,共4页
随着集成电路设计与工艺技术水平的提高,出现了系统芯片(SOC)的概念。本文介绍了基于IP的SOC设计方式的设计流程,指出了其与传统IC设计方法的不同。讨论了支持SOC设计的几种关键技术,并对SOC的技术优势及发展趋势作了全面阐述。
关键词 IP核 系统芯片(soc) 设计方法
下载PDF
基于事务级的SOC软硬件协同验证系统的设计与实现
15
作者 廖永波 阮爱武 +3 位作者 朱建华 黄好成 项传银 王林 《电子世界》 2011年第7期35-37,共3页
本文提出了一种使用FPGA作为硬件加速器,并且在FPGA与计算机之间建立了软硬件同步的基础上,实现了一种基于事务级的验证系统.该系统完全兼容SCE—MI标准。通过实验表明该系统适用于数模混合SOC系统的验证。
关键词 验证系统 软硬件协同 soc系统 事务级 设计 FPGA 硬件加速器 数模混合
下载PDF
蓝牙链路管理的系统级SOC设计方法
16
作者 罗娟 曹阳 《华中科技大学学报(自然科学版)》 EI CAS CSCD 北大核心 2006年第8期26-28,共3页
提出了事务级面向对象的设计流程TLOOF(Transaction Level Object Oriented Framework),强调高层次的设计和验证,并使用面向对象的Petri网和实时UML相结合的方法,用SystemC对系统的行为建模.将TLOOF应用于蓝牙芯片的设计中,蓝牙行为模... 提出了事务级面向对象的设计流程TLOOF(Transaction Level Object Oriented Framework),强调高层次的设计和验证,并使用面向对象的Petri网和实时UML相结合的方法,用SystemC对系统的行为建模.将TLOOF应用于蓝牙芯片的设计中,蓝牙行为模型由链路管理器和链路控制组成,映射为CPU、内存、片上总线、链路控制等体系结构模块.建立了蓝牙链路连接的OOPN模型并描述了事务级模型的类图,完成了系统的仿真.仿真结果表明,该片上系统(SOC)设计方法可以很好地对蓝牙系统建模. 展开更多
关键词 片上系统(soc) 系统级设计 面向对象 蓝牙
下载PDF
一种多媒体系统芯片M-SoC的总线调度策略
17
作者 蒋志迪 李东晓 +2 位作者 郑伟 王维东 姚庆栋 《电路与系统学报》 CSCD 北大核心 2007年第1期130-134,72,共6页
多媒体系统芯片(M-SoC)是一种典型的多任务系统芯片。芯片内部众多的数据请求源都要通过总线访问单一的片外存储器,合理调度这些总线请求成为系统设计的关键。本文通过详细分析总线上片内外数据通道的特点和数据流量,给出了一种基于多通... 多媒体系统芯片(M-SoC)是一种典型的多任务系统芯片。芯片内部众多的数据请求源都要通过总线访问单一的片外存储器,合理调度这些总线请求成为系统设计的关键。本文通过详细分析总线上片内外数据通道的特点和数据流量,给出了一种基于多通道DMA的总线调度策略,并将该策略成功运用于单芯片音视频解码系统芯片的总线设计中。该策略有效地融合了DMA请求和总线总裁问题,普遍适用于片级总线多请求的多媒体系统芯片。 展开更多
关键词 音视频解码 多媒体片上系统M—soc 总线仲裁
下载PDF
基于SOC工控系统的总线控制器设计
18
作者 王得利 高德远 王党辉 《计算机工程与应用》 CSCD 北大核心 2008年第33期69-72,共4页
通过对工控PC104总线协议的分析,在一个SOC中设计了一个同步的总线控制器。基于面向航空领域应用中断响应速度快、低功耗、可靠性高的要求以及SOC系统的特点,对设计相关方面做出改进,主要包括对SOC片上外设数据通路的优化、SOC片上外设... 通过对工控PC104总线协议的分析,在一个SOC中设计了一个同步的总线控制器。基于面向航空领域应用中断响应速度快、低功耗、可靠性高的要求以及SOC系统的特点,对设计相关方面做出改进,主要包括对SOC片上外设数据通路的优化、SOC片上外设总线周期加速、关键信号去噪音处理、总线周期超时自结束。实验数据表明,改进后的设计,在启动操作系统时间速度比原来缩短了7.3%,功耗下降了17.1%。集成了该控制器的一款SOC芯片流片成功,实际运行系统可靠性高,中断响应速度加快了14%。 展开更多
关键词 PC104 系统级芯片(soc) 可靠性 低功耗 快速中断响应
下载PDF
减小SoC系统测试功耗的方法
19
作者 吴刚 王立志 《世界电子元器件》 2005年第2期64-66,共3页
系统功耗主要取决于系统电源电压、负载电容及节点电平的翻转概率。前两种方法对减少SOC测试功耗的作用非常有限,而通过改变扫描寄存器的结构,即采用扫描阵列结构可以极大的降低SOC测试功耗。
关键词 功耗 soc测试 soc系统 电平 阵列结构 电源电压 电容 寄存器 扫描 翻转
下载PDF
浅谈SoC模块级与系统级的验证
20
作者 叶琼茹 杨冠鲁 《张家口职业技术学院学报》 2012年第1期57-60,共4页
SoC芯片通常包含有复杂的数据通路,对复杂的数据通路的验证非常具有挑战性。无线通信SoC中包括很多功能模块,为了验证其复杂的数据通路的正确性,需要对每个功能模块进行模块级的验证。由于SoC验证已经成为整个流程中的重心,所以努力研... SoC芯片通常包含有复杂的数据通路,对复杂的数据通路的验证非常具有挑战性。无线通信SoC中包括很多功能模块,为了验证其复杂的数据通路的正确性,需要对每个功能模块进行模块级的验证。由于SoC验证已经成为整个流程中的重心,所以努力研发新的验证方法及设备,不断完善SoC验证计划和平台是当前的主要任务。以SD控制器和芯片系统(SoC)为例,探讨SoC模块级和系统级的验证,先确定验证策略并编写测试计划,再创建测试平台,最后对复杂的数据进行测试。 展开更多
关键词 soc模块级 soc系统 SD控制器 验证
下载PDF
上一页 1 2 11 下一页 到第
使用帮助 返回顶部