期刊文献+
共找到532篇文章
< 1 2 27 >
每页显示 20 50 100
基于方差特征选择与3DES加密算法的医院信息数据安全防御系统设计
1
作者 蒲亮 姚树智 敖继威 《计算机测量与控制》 2024年第5期253-259,共7页
常规的医院信息数据安全防护主要采用信息属性特征融合分类的方法进行安全防护,忽略了信息存储逻辑节点拓扑结构造成的安全影响,导致安全防护攻击成功概率较高;因此,引入方差特征选择与3DES加密算法,研究医院信息数据安全防御系统;提出... 常规的医院信息数据安全防护主要采用信息属性特征融合分类的方法进行安全防护,忽略了信息存储逻辑节点拓扑结构造成的安全影响,导致安全防护攻击成功概率较高;因此,引入方差特征选择与3DES加密算法,研究医院信息数据安全防御系统;提出采用机器学习中的改进方差特征法对医院信息系统进行优化设计;在硬件设计部分,对医院信息数据安全防御系统终端、控制终端与人机接口和接口数据传输模块进行设计;在医院信息数据安全防御方案设计中,采用方差特征选择方法对医院信息进行过滤和归一化处理完成数据更新;采用3DES加密算法,建立信息的模糊判断矩阵,完成医院信息数据安全防御系统设计;经过实验测试设计的系统可有效降低各种攻击的成功概率,平均攻击成功率仅为2.33%,并且数据完整度达到了99.999%,安全性较高,有效保障医院信息安全,避免医院信息的泄露或故障。 展开更多
关键词 医院信息 安全防御 方差特征选择 3des加密算法 模糊判断
下载PDF
3D打印实物模型在颈椎疾患住院医师规范化培训教学中的应用和探讨
2
作者 王玉强 许建中 +6 位作者 谭洪宇 鲍恒 李宇 刘会范 王秀玲 马陶然 花卉 《中国毕业后医学教育》 2024年第5期369-372,共4页
目的探讨3 D打印实物模型在提高颈椎疾患住院医师规范化培训(简称住培)教学效果中的作用。方法选取参加骨科住培的颈椎疾患学习的住院医师80名为研究对象,随机分为两组,观察组(40人)以幻灯讲解加3 D打印实物模型教学,对照组(40人)采用... 目的探讨3 D打印实物模型在提高颈椎疾患住院医师规范化培训(简称住培)教学效果中的作用。方法选取参加骨科住培的颈椎疾患学习的住院医师80名为研究对象,随机分为两组,观察组(40人)以幻灯讲解加3 D打印实物模型教学,对照组(40人)采用幻灯讲解教学。课后即时和课后1月分别对住院医师的知识掌握情况和诊疗技术进行现场测试,利用问卷的形式对住院医师的满意度和参与兴趣(10分法)进行调查。结果课后即时观察组的知识掌握情况和对照组相当(P>0.05),但是观察组的诊疗技术测试成绩显著高于对照组(P<0.05),观察组的满意度和参与兴趣评分均显著高于对照组,两者对比差异有统计学意义(均P<0.05);课后1月时,观察组的知识掌握情况和诊疗技术测试成绩均显著高于对照组(均P<0.05)。结论3 D打印实物模型应用于颈椎疾患住培的带教中,可显著提高教学效果,提升住院医师的满意度和参与兴趣,值得在住培教学中推广。 展开更多
关键词 3D打印 实物模型 颈椎 教学 住院医师规范化培训
下载PDF
基于ASIC的有效DES/3DES流水线设计 被引量:8
3
作者 张丽娜 陈建华 +1 位作者 张家宏 阳凌怡 《计算机工程》 CAS CSCD 北大核心 2007年第2期225-226,共2页
介绍了DES和3DES算法,在此基础上给出了一种有效的流水线设计方法,该方法综合了面积和效率的考虑,通过FPGA的验证,证明其具有较强的实用性。
关键词 DES算法 3des算法 流水线
下载PDF
面向NFC应用的DES/3DES算法研究与仿真实现 被引量:7
4
作者 刘晶晶 马世伟 +3 位作者 陈光化 秦龙 卢裕阶 曾为民 《微电子学》 CAS CSCD 北大核心 2013年第1期134-138,共5页
在分析DES/3DES密码算法及常用抗攻击策略的基础上,从DES算法的功耗点和代码的简易程度着手,进一步改进掩膜方法,提出了一种抗DPA攻击的DES/3DES改进算法,该算法可支持ECB和CBC工作模式。利用SystemC建立算法模型并进行验证,完成了满足... 在分析DES/3DES密码算法及常用抗攻击策略的基础上,从DES算法的功耗点和代码的简易程度着手,进一步改进掩膜方法,提出了一种抗DPA攻击的DES/3DES改进算法,该算法可支持ECB和CBC工作模式。利用SystemC建立算法模型并进行验证,完成了满足NFC应用要求的DES/3DES密码协处理器的设计和仿真;建立了一套SystemC算法模型和RTL电路自动仿真系统,以减少开发周期及研发成本。对改进算法及电路所做的仿真验证了电路的有效性。 展开更多
关键词 DES 3des算法 抗DPA攻击 近场通讯 掩膜
下载PDF
基于Python语言的3DES算法优化 被引量:8
5
作者 李爱宁 唐勇 +1 位作者 孙晓辉 刘昕彤 《计算机系统应用》 2011年第8期184-187,173,共5页
介绍了3DES加密算法的原理,描述了对Python语言自带模块pyDES模块中3DES算法的优化过程,分析了3DES算法编程实现过程中效率优化时所遇到的问题及解决方法;最后使用Python语言中的profile工具对优化后的算法进行了测试,数据表明优化后的3... 介绍了3DES加密算法的原理,描述了对Python语言自带模块pyDES模块中3DES算法的优化过程,分析了3DES算法编程实现过程中效率优化时所遇到的问题及解决方法;最后使用Python语言中的profile工具对优化后的算法进行了测试,数据表明优化后的3DES算法提高了原算法的加解密速度和安全性。 展开更多
关键词 3des算法 PYTHON PyDES模块 优化
下载PDF
基于DSP的3DES加密系统的设计与实现 被引量:8
6
作者 晏福平 盛利元 简远鸣 《计算机测量与控制》 CSCD 北大核心 2009年第7期1390-1392,共3页
针对目前通用计算机实现的加密算法速度有限,而专用加密芯片的开发成本较高、开发周期相对较长的现状,采用数字信号处理器(DSP)设计了一种新型的加密系统;该系统采用TI公司高速浮点型DSP芯片TMS320C6713实现了3DES(Triple Data Encrypti... 针对目前通用计算机实现的加密算法速度有限,而专用加密芯片的开发成本较高、开发周期相对较长的现状,采用数字信号处理器(DSP)设计了一种新型的加密系统;该系统采用TI公司高速浮点型DSP芯片TMS320C6713实现了3DES(Triple Data EncryptionStandard)加密算法;并采用CY7C68001 USB2.0接口芯片完成了DSP与PC的通讯;实验结果表明,该加密系统能高速可靠地运行,在该系统的基础上可以扩展成实时数据加密系统。 展开更多
关键词 分组密码 3des算法 USB2.0 数字信号处理器
下载PDF
利用3DES的迭代型分组密码构造跳频码序列 被引量:5
7
作者 李赞 金力军 常义林 《西安电子科技大学学报》 EI CAS CSCD 北大核心 2002年第3期411-414,共4页
基于三重加密方案 3DES的迭代型分组密码体制 ,构造了一种跳频码序列产生方法 .该方法具有安全性好、产生速度快和容易实现等特点 .通过对产生的跳频码序列的安全性、均匀性、随机性、频率撞击特性及周期性进行系统的统计检验 ,得到了... 基于三重加密方案 3DES的迭代型分组密码体制 ,构造了一种跳频码序列产生方法 .该方法具有安全性好、产生速度快和容易实现等特点 .通过对产生的跳频码序列的安全性、均匀性、随机性、频率撞击特性及周期性进行系统的统计检验 ,得到了较理想的效果 . 展开更多
关键词 3des 迭代型分组密码 跳频码序列 跳频通信
下载PDF
北斗三号仅用作追踪的机载设备适航要求分析
8
作者 马振洋 周中华 +2 位作者 张帆 王鹏 柯炳清 《北京航空航天大学学报》 EI CAS CSCD 北大核心 2024年第4期1162-1175,共14页
随着北斗三号卫星导航系统服务正式开通,北斗二号相关适航标准已无法满足当前机载设备应用场景需求,严重制约了北斗在民航领域的应用进程,急需开展北斗三号相应标准的研究与制定。针对北斗在民用航空器中应用第1阶段“仅用作航空器追踪... 随着北斗三号卫星导航系统服务正式开通,北斗二号相关适航标准已无法满足当前机载设备应用场景需求,严重制约了北斗在民航领域的应用进程,急需开展北斗三号相应标准的研究与制定。针对北斗在民用航空器中应用第1阶段“仅用作航空器追踪”,对北斗二号与北斗三号开展差异性分析,提出标准修订总体方案,综合考虑适航安全性、机载设备应用场景,结合工业实践,根据设备构型提出指标修订方案,形成审定要素及符合性方法建议,为设备研发与适航取证提供标准支持。仿真分析了设备天线增益、卫星可见性等关键参数,验证了所修订技术指标的正确性。 展开更多
关键词 技术标准规定 北斗三号 航空器追踪 定位 短报文 适航
下载PDF
基于FPGA的3DES加密算法高速实现 被引量:8
9
作者 邵金祥 何志敏 《现代电子技术》 2004年第21期55-57,共3页
介绍了 3 DES加密算法的原理并详尽描述了该算法的 F PGA设计实现 ,设计中还采用了流水线技术来提高速度 ,添加了输入和输出接口的设计以增强应用的灵活性 ,各模块均用硬件描述语言 V HDL 实现 ,最终下载到 F PGA芯片Stratix中。
关键词 3des FPGA VHDL 流水线
下载PDF
一种基于FPGA的3DES加密算法实现 被引量:3
10
作者 任芳 杨承睿 陈雷华 《西安工程大学学报》 CAS 2011年第4期555-559,共5页
为了满足大量连续数据加解密的要求以及提高加密算法安全性的要求,采用有限状态机和流水线等关键技术,设计并实现了基于FPGA的3DES加密算法的加密电路.在Xilinx Virtex4系列的FPGA平台上采用ISE 10.1开发工具实现仿真验证和逻辑综合.结... 为了满足大量连续数据加解密的要求以及提高加密算法安全性的要求,采用有限状态机和流水线等关键技术,设计并实现了基于FPGA的3DES加密算法的加密电路.在Xilinx Virtex4系列的FPGA平台上采用ISE 10.1开发工具实现仿真验证和逻辑综合.结果表明,3DES加密系统的加解密速度可以达到860.660Mb/s,提高了加解密速度,并且有效减少了资源占用率.最终,系统可广泛应用于网络安全产品及其他安全设备中. 展开更多
关键词 FPGA 3des算法 VHDL 有限状态机 流水线技术
下载PDF
基于3DES-RC4混合加密的即时通信系统 被引量:4
11
作者 刘峰 王丹丹 +1 位作者 于波 于飞 《计算机系统应用》 2020年第8期80-89,共10页
即时通信系统由于其实时性等特点已经成为一种重要的交流方式,能够提高工作效率、降低沟通成本,在企业、学校、政府等组织中扮演的角色越来越重要.然而即时通信在带来便利的同时,其固有的一些安全弱点阻碍了它的进一步发展.为了保证即... 即时通信系统由于其实时性等特点已经成为一种重要的交流方式,能够提高工作效率、降低沟通成本,在企业、学校、政府等组织中扮演的角色越来越重要.然而即时通信在带来便利的同时,其固有的一些安全弱点阻碍了它的进一步发展.为了保证即时通信系统的安全性,一些先进的安全加密算法用于通信系统来防止攻击和信息泄露.然而这些算法在加密强度或加密速度等方面都有各自的缺陷,在理解了这些加密算法的局限性之后,本文提出了一种旨在利用和组合两种加密算法最佳功能并提供比其中任何一种具有更好的安全性、实时性的替代算法,即3DES-RC4混合加密算法,是一种具有256个字节密钥空间的算法,算法复杂度相较于3DES算法由O(2168)提高到O(25100).基于此算法设计了一款即时通信系统,针对系统的加密解密功能进行了测试,分析了提出的算法的性能和强度.并和3DES算法进行了对比,证明了本文提出的算法保留了3DES加密强度和RC4伪随机性的特征,在加密强度和适应性等方面优于构成算法. 展开更多
关键词 3des RC4 安全加密算法 混合加密 即时通信系统
下载PDF
基于ARM的3DES加密算法实现 被引量:2
12
作者 刘建华 赵小强 李晶 《现代电子技术》 2009年第18期37-39,42,共4页
3DES算法是一个重要的对称加密算法,被广泛应用于实际系统中。目前并没有针对嵌入式的实现方法,依据3DES算法和一般嵌入式系统需求,详细介绍该系统硬件和软件的设计过程。硬件以三星公司的S3C2410处理器为核心,系统以嵌入式Linux为载体... 3DES算法是一个重要的对称加密算法,被广泛应用于实际系统中。目前并没有针对嵌入式的实现方法,依据3DES算法和一般嵌入式系统需求,详细介绍该系统硬件和软件的设计过程。硬件以三星公司的S3C2410处理器为核心,系统以嵌入式Linux为载体。在此详细阐述开发环境的搭建、Bootloader的架构及功能、内核及文件系统的编译和移植。基于系统硬件和软件设计过程,通过MiniGUI图形界面对3DES算法进行了演示。 展开更多
关键词 3des ARM处理器 嵌入式LINUX MINIGUI
下载PDF
基于相互认证和3DES加密的智能卡远程支付系统认证方案 被引量:2
13
作者 莫淦清 王国华 《计算机应用研究》 CSCD 北大核心 2016年第10期3078-3082,共5页
针对现有基于智能卡支付系统的安全方案存在密码暴露、信息泄露和身份认证等问题,提出一种新的基于相互认证和3DES加密的智能卡远程支付系统认证方案。分析基于二次剩余的支付认证方案的不足,在注册、登录、身份认证和密码更改阶段对其... 针对现有基于智能卡支付系统的安全方案存在密码暴露、信息泄露和身份认证等问题,提出一种新的基于相互认证和3DES加密的智能卡远程支付系统认证方案。分析基于二次剩余的支付认证方案的不足,在注册、登录、身份认证和密码更改阶段对其进行改进,避免密码暴露攻击,提高密码更改阶段的安全性,同时结合3DES加密算法对支付信息进行加密处理。性能分析表明,该方案能有效抵御多种攻击,且用户能够自由地修改密码,同时可对用户信息进行匿名保护。与现有智能卡支付认证方案相比,该方案提高了支付系统的安全性能,且具有较小的计算复杂度。 展开更多
关键词 智能卡远程支付 相互认证 抵御攻击 二次剩余 3des加密
下载PDF
语音实验室端到端即时通信认证协议设计
14
作者 何锴 《现代电子技术》 北大核心 2024年第11期18-21,共4页
为保证语音实验室端到端即时通信安全,确保语音内容不被窃听和篡改,提出一种基于混合加解密的语音实验室端到端即时通信认证协议的设计方法。利用RSA方法加解密发送方传输的即时通信会话密钥,通过3DES方法将发送方发送的明文语音信息进... 为保证语音实验室端到端即时通信安全,确保语音内容不被窃听和篡改,提出一种基于混合加解密的语音实验室端到端即时通信认证协议的设计方法。利用RSA方法加解密发送方传输的即时通信会话密钥,通过3DES方法将发送方发送的明文语音信息进行加解密。在加解密过程中,加密信息打包为加密包后发送至信息接收方,信息接收方获取加密包后,使用RSA方法、3DES方法进行有效的密钥解密认证、明文语音消息解密,获取语音实验室端到端的明文语音信息。实验结果显示,此协议使用下,语音实验室端到端即时通信的认证加速比提升,且仅在密钥输入内容准确的情况下,信息接收方才可得到准确的明文语音消息内容,且不存在内容失真问题。 展开更多
关键词 语音实验室 端到端 即时通信 认证协议 RSA算法 3des方法 密钥加解密 明文加解密
下载PDF
基于3DES-ECC算法的网络信息加密研究 被引量:6
15
作者 张祎江 《科技通报》 北大核心 2014年第4期229-231,235,共4页
为了加强网络信息安全,提高网络信息加密的安全性,运用3DE及ECC共同完成网络信息数据加密。首先,对3DES算法进行简单分析,它采用168位的随机密钥生成密文,接着从数学模型和算法设计两个方面对ECC算法进行详细分析;最后进行实例仿真,采用... 为了加强网络信息安全,提高网络信息加密的安全性,运用3DE及ECC共同完成网络信息数据加密。首先,对3DES算法进行简单分析,它采用168位的随机密钥生成密文,接着从数学模型和算法设计两个方面对ECC算法进行详细分析;最后进行实例仿真,采用MFC编写C++程序,完成对网络信息的3DES-ECC算法加密,实验证明,采用该算法对网络信息加密,有较高的安全性,具有一定的研究价值。 展开更多
关键词 网络信息加密 3des 椭圆加密算法
下载PDF
基于单片机的实时3DES加密算法的实现 被引量:3
16
作者 郑磊 易波 《微处理机》 2000年第3期40-42,共3页
首先简要介绍了 3 DES加密算法 ,接着给出了用单片机来实时实现该算法时为了提高运算速度而采用的两种方法 ,并给出了实际测试结果。
关键词 信息安全 3des加密算法 单片机 数据加密
下载PDF
对无线IC卡传输数据实行3DES加密 被引量:2
17
作者 常国权 戴国强 《电子产品世界》 2015年第9期35-38,共4页
为了保护无线IC卡传输数据的安全性,防止非法的破解和复制,在较低配置的单片机系统中采用3DES加密算法。当使用STC单片机工作在22.1184MHz的典型条件下,3DES算法加密、解密的平均速度约为308.7bit/s,满足实际应用的要求。
关键词 3des算法 加密 解密 STC15单片机
下载PDF
基于3DES加密的ATM乱序密码键盘的设计 被引量:1
18
作者 龙夏 肖连军 檀明 《电脑知识与技术(过刊)》 2010年第35期9969-9971,共3页
该文介绍了3DES加密算法在ATM乱序密码键盘中的实现,并介绍了一种兼容多种终端的乱序触摸式语音密码键盘的软硬件设计与实现。
关键词 3des 加密 密码键盘
下载PDF
基于AMBA总线的3DES算法IP核的设计与实现 被引量:1
19
作者 王澧 张玲 屈凌翔 《电子与封装》 2015年第1期19-23,共5页
基于AMBA2.0总线,设计并实现了一种使用3DES加密算法的IP核。该设计通过了行为级功能仿真和综合后的时序仿真,成功运用于一款32位浮点DSP芯片中,并且用TSMC 65 nm CMOS工艺实现。目前该IP核已经投入使用,在500 MHz的工作频率下,3DES加/... 基于AMBA2.0总线,设计并实现了一种使用3DES加密算法的IP核。该设计通过了行为级功能仿真和综合后的时序仿真,成功运用于一款32位浮点DSP芯片中,并且用TSMC 65 nm CMOS工艺实现。目前该IP核已经投入使用,在500 MHz的工作频率下,3DES加/解密速率达到615 Mbps,可以满足大部份系统数据处理的需求。 展开更多
关键词 3des算法 IP核 AMBA总线
下载PDF
3DES密码卡的设计与实现
20
作者 邹候文 刘磊 唐屹 《计算机工程》 CAS CSCD 北大核心 2006年第11期253-255,共3页
以EP1S20F672C7为核心,利用PLX9054作为密码卡与主机交换数据的接口芯片,采用多个硬件线程并行处理实现3DES算法,设计了3DES密码卡。介绍了硬件的构成、原理图的设计、底层软件的编程以及密码算法IP核的开发。所设计的IP核具有很高... 以EP1S20F672C7为核心,利用PLX9054作为密码卡与主机交换数据的接口芯片,采用多个硬件线程并行处理实现3DES算法,设计了3DES密码卡。介绍了硬件的构成、原理图的设计、底层软件的编程以及密码算法IP核的开发。所设计的IP核具有很高的灵活性,可同时处理1~53个任务。 展开更多
关键词 密码卡 3des算法 IPCORE
下载PDF
上一页 1 2 27 下一页 到第
使用帮助 返回顶部