期刊文献+
共找到51篇文章
< 1 2 3 >
每页显示 20 50 100
Effects of substrate temperature and annealing treatment on the microstructure and magnetic characteristics of TbDyFe films 被引量:4
1
作者 DU Zhaofu WANG Lei ZHAO Dongliang 《Rare Metals》 SCIE EI CAS CSCD 2007年第5期408-413,共6页
A series of TbDyFe films were prepared by DC magnetron sputtering. The effects of substrate temperature and annealing temperature on the phase structure and the magnetic properties of the sample films were investigate... A series of TbDyFe films were prepared by DC magnetron sputtering. The effects of substrate temperature and annealing temperature on the phase structure and the magnetic properties of the sample films were investigated. The an-nealing treatment has a significant influence on the microstructure and the magnetic properties of the sample. The results obtained by XRD indicate that the films deposited at a temperature lower than 525℃ are amorphous and have an easy magnetization direction perpendicular to the film plane. An RFe2 phase is formed in the sample annealed at 550℃ and the residual phases observed are Fe and rare earth oxide. The magnetic properties Hc and Mr/Ms of the film annealed at 550℃ obtain the maximum values,for which the formation of the RFe2 phase is mainly responsible. An annealing treatment leads to a rotation of the sample’s easy axis from being parallel to the film surface to becoming vertical. 展开更多
关键词 TbDyFe thin film AMORPHOUS substrate temperature annealing temperature
下载PDF
Improved electrochemical hydrogen storage properties of Mg-Y thin films as a function of substrate temperature 被引量:1
2
作者 Yanyan Wang Gongbiao Xin +4 位作者 Chongyun Wang Huiyu Li Wei Li Jie Zheng Xingguo Li 《Journal of Energy Chemistry》 SCIE EI CAS CSCD 2014年第3期287-290,共4页
Pd-capped Mg78Y22 thin films have been prepared by direct current magnetron co-sputtering system at different substrate temperatures and their electrochemical hydrogen storage properties have been investigated.It is f... Pd-capped Mg78Y22 thin films have been prepared by direct current magnetron co-sputtering system at different substrate temperatures and their electrochemical hydrogen storage properties have been investigated.It is found that rising substrate temperature to 60 ℃ can coarsen the surface of thin film,thus facilitating the diffusion of hydrogen atoms and then enhancing its discharge capacity to 1725 mAh·g-1.Simultaneously,the cyclic stability is effectively improved due to the increased adhesion force between film and substrate as a function of temperature.In addition,the specimen exhibits a very long and flat discharge plateau at about —0.67 V,at which nearly 60%of capacity is maintained.The property is favorable for the application in metal hydride/nickel secondary batteries.The results indicate that rising optimal substrate temperature has a beneficial effect on the electrochemical hydrogen storage of Mg-Y thin films. 展开更多
关键词 Mg-Y thin films substrate temperature electrochemical hydrogen storage discharge capacity cyclic stability metal hydride/nickel secondary batteries
下载PDF
Kinetic Monte Carlo Simulation of EB-PVD Film:Effects of Substrate Temperature 被引量:1
3
作者 SHAN Ying-chun HE Xiao-dong +2 位作者 LI Ming-wei LI Yao XU Jiu-jun 《Chinese Journal of Aeronautics》 SCIE EI CAS CSCD 2006年第B12期86-90,共5页
The 2D kinetic Monte Carlo (KMC) simulation was used to study the effects of different substrate temperatures on the microstructure of Ni-Cr films in the process of deposition by the electron beam physical vapor dep... The 2D kinetic Monte Carlo (KMC) simulation was used to study the effects of different substrate temperatures on the microstructure of Ni-Cr films in the process of deposition by the electron beam physical vapor deposition (EB-PVD). In the KMC model, substrate was assumed to be a "surface" of tight-packed rows, and the simulation includes two phenomena: adatom-surface collision and adatom diffusion. While the interaction between atoms was described by the embedded atom method, the jumping energy was calculated by the molecular static (MS) calculation. The initial location of the adatom was defined by the Momentum Scheme. The results reveal that there exists a critical substrate temperature which means that the lowest packing density and the highest surface roughness structure will be achieved when the temperature is lower than the smaller critical value, while the roughness of both surfaces and the void contents keep decreasing with the substrate temperature increasing until it reaches the higher critical value. The results also indicate that the critical substrate temperature rises as the deposition rate increases. 展开更多
关键词 substrate temperature FILM kinetic Monte Carlo EB-PVD
下载PDF
Diamond Film Synthesis with a DC Plasma Jet:Effect of the Contacting Interface between Substrate and Base on the Substrate Temperature 被引量:1
4
作者 Rongfa CHEN Dunwen ZUO +2 位作者 Feng XU Duoseng LI Min WANG 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2007年第4期495-498,共4页
The contacting interface between the substrate and water-cooled base is vital to the substrate temperature during diamond films deposition by a DC (direct current) plasma jet. The effects of the solid contacting are... The contacting interface between the substrate and water-cooled base is vital to the substrate temperature during diamond films deposition by a DC (direct current) plasma jet. The effects of the solid contacting area,conductive materials and fixing between the substrate and the base were investigated without affecting the other parameters. Experimental results indicated that the preferable solid contacting area was more than 60% of total contacting areal; the particular Sn-Pb alloy was more suitable for conducting heat and the concentric fixing ring was a better setting for controlling the substrate temperature. The result was explained in terms of the variable thermal contact resistance at the interface between substrate and base. The diamond films were analyzed by scanning electron microscopy (SEM) for morphology, X-ray diffraction (XRD) for the intensity of characteristic spectroscopy and Raman spectroscopy for structure. 展开更多
关键词 Diamond film substrate temperature Contacting interface DC arc plasma jet
下载PDF
Structural and physical properties of permalloy thin films prepared by DC magnetron sputtering at different substrate temperature 被引量:1
5
作者 WANG Fengping, WU Ping, QIU Hong, PAN Liqing, LIU Huanping, TIAN Yue, and LUO Sheng 《Rare Metals》 SCIE EI CAS CSCD 2004年第1期52-52,共1页
Permalloy Ni80Fe20 films have been grown on thermal oxidized Si (111) wafers by magnetron sputtering at well-controlled substrate temperatures of 300, 500, 640 and 780 K in 0.65 Pa argon pressure. The base pressure wa... Permalloy Ni80Fe20 films have been grown on thermal oxidized Si (111) wafers by magnetron sputtering at well-controlled substrate temperatures of 300, 500, 640 and 780 K in 0.65 Pa argon pressure. The base pressure was about 1×10-4 Pa. The deposition rate was about 5 nm/min for all the films. The structure of the films was studied using X-ray diffraction, scanning electron microscopy and atomic force microscopy. The composition of the films was analyzed using scanning Auger microprobe. The resistance and magnetoresistance of the films were measured using four-point probe technique. The results show that the content of oxygen in the films decreases gradually with raising substrate temperature. In addition, the surface morphology of the films presents notable change with the increasing of the substrate temperature; the residual gases and defects decrease and the grains have coalesced evidently, and then the grains have grown up obviously and the texture of (111) orientation develops gradually in the growing film. As a result, the resistivity reduces apparently and magnetoresistance ratio increases markedly with raising substrate temperature. 展开更多
关键词 permalloy film substrate temperature residual gases resistance and magnetoresistance
下载PDF
Preparation and Characterization of TiO_2/TiN/TiO_2 Multi-layer Solar Control Coatings Deposited by D.C. Reactive Magnetron Sputtering at Different Substrate Temperature
6
作者 赵青南 《Journal of Wuhan University of Technology(Materials Science)》 SCIE EI CAS 2001年第2期9-12,共4页
Transparent TiO2/TiN/TiO2 multi-layer solar control coatings were prepared on normal soda-lime-silica float glass substrate by using d.c. reactive magnetron sputtering at substrate temperature ranging from room temper... Transparent TiO2/TiN/TiO2 multi-layer solar control coatings were prepared on normal soda-lime-silica float glass substrate by using d.c. reactive magnetron sputtering at substrate temperature ranging from room temperature to 620℃ . The dependence of optical properties of the coatings and the coating composition, on the substrate temperature was studied. The results of the optical properties show that as the substrate temperature increases, a visible transmittance as high as 65% can be obtained. When the substrate temperature is higher than 370℃ , the infrared reflectance decreases. The results of X-ray photoelectron spectroscopy (XPS) show that when the substrate temperature is higher than 520℃ in oxygen atmosphere, the formation of thin surface over-layers (TiNxOy,) on top of the TiN films can be observed. When the substrate temperature is at 62℃ , the oxynitride become TiO2, which results in the optical degradation of TiN layer in infrared reflectance. 展开更多
关键词 solar control coatings SPUTTERING substrate temperature optical properties XPS TiNx0y
下载PDF
Effects of Substrate Temperature on the Growth of Polycrystalline Si Films Deposited with SiH_4+Ar
7
作者 Hua Cheng Aimin Wu +2 位作者 Jinquan Xiao Nanlin Shi Lishi Wen 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2009年第4期489-491,共3页
Polycrystalline silicon (poly-Si) films were deposited using Ar diluted SiH4 gaseous mixture by electron cyclotron resonance plasma-enhanced chemical vapor deposition (ECR-PECVD). The effects of the substrate temp... Polycrystalline silicon (poly-Si) films were deposited using Ar diluted SiH4 gaseous mixture by electron cyclotron resonance plasma-enhanced chemical vapor deposition (ECR-PECVD). The effects of the substrate temperature on deposition rate, crystallinity, grain size and the configuration of H existing in poly-Si film were investigated. The results show that, comparing with H2 dilution, Ar dilution could significantly decrease the concentration of H on the growing surface. When the substrate temperature increased, the deposition rate increased and the concentration of H decreased monotonously, but the crystallinity and the grain size of poly-Si films exhibited sophisticated trends. It is proposed that the crystallinity of the films is determined by a competing balance of the self-diffusion activity of Si atoms and the deposition rate. At substrate temperature of 200℃, the deposited film exhibits the maximum poly-Si volume fraction of 79%. Based on these results, higher substrate temperature is suggested to prepare the poly-Si films with advanced stability and compromised crystallinity at high deposition rate. 展开更多
关键词 Poly-Si films ECR-PECVD substrate temperature Ar-dilution
下载PDF
Preparation of MgO Films as Buffer Layers by Laser-ablation at Various Substrate Temperatures
8
作者 李凌 王传彬 《Journal of Wuhan University of Technology(Materials Science)》 SCIE EI CAS 2011年第5期888-890,共3页
MgO thin films were deposited on Si(100) substrates by laser ablation under various substrate temperatures (Tsub),expecting to provide a candidate buffer layer for the textured growth of functional perovskite oxid... MgO thin films were deposited on Si(100) substrates by laser ablation under various substrate temperatures (Tsub),expecting to provide a candidate buffer layer for the textured growth of functional perovskite oxide films on Si substrates.The effect of Tsub on the preferred orientation,crystallinity and surface morphology of the films was investigated.MgO films in single-phase were obtained at 473-973 K.With increasing Tsub,the preferred orientation of the films changed from (200) to (111).The crystallinity and surface morphology was different too,depending on Tsub.At Tsub=673 K,the MgO film became uniform and smooth,exhibiting high crystallinity and a dense texture. 展开更多
关键词 MgO films buffer layer laser ablation substrate temperature
下载PDF
Effects of Substrate Temperature on Properties for Transparent Conducting ZnO:A1 Films on Organic Substrate Deposited by r.f. Sputtering
9
作者 Deheng ZHANG, Dejun ZHANG and Qingpu WANG Department of Physics, Shandong University, Jinan 250100, China Tianlin YANG Institute of Zibo, Zibo 255091, China 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2001年第5期517-520,共4页
This paper presents the substrate temperature dependence of opto-electrical properties for transparent conducting Al-doped ZnO films prepared on polyisocyanate (PI) substrates by r f sputtering. Polycrystalline ZnO:Al... This paper presents the substrate temperature dependence of opto-electrical properties for transparent conducting Al-doped ZnO films prepared on polyisocyanate (PI) substrates by r f sputtering. Polycrystalline ZnO:Al films with good adherence to the substrates having a (002) preferred orientation have been obtained with resistivities in the range from 4.1×10-3to 5.3×104 Ωcm, carrier densities more than 2.6×1020 cm-3 and Hall mobilities between 5.78 and 13.11 cm2/V/s for films. The average transmittance reaches 75% in the visible spectrum. The quality of obtained films depends on substrate temperature during film fabrication. 展开更多
关键词 ZNO SPUTTERING Effects of substrate temperature on Properties for Transparent Conducting ZnO Al
下载PDF
Effects of Substrate Temperatures on the Structure and UV-shielding Properties of TiO_2-CeO_2 Films Deposited on Glass by Radio-frequency Magnetron Sputtering
10
作者 赵青南 《Journal of Wuhan University of Technology(Materials Science)》 SCIE EI CAS 2005年第4期7-9,共3页
TiO2-CeO2 films were deposited on soda-lime glass substrates at varied substrate temperatures by rf magnetron sputtering using 40% molar TiO2-60% molar CeO2 ceramic target in Ar:O2=95:5 atmosphere.The structure,surf... TiO2-CeO2 films were deposited on soda-lime glass substrates at varied substrate temperatures by rf magnetron sputtering using 40% molar TiO2-60% molar CeO2 ceramic target in Ar:O2=95:5 atmosphere.The structure,surface composition,UV-visible spectra of the films were measured by scanning electron microscopy and X-ray diffraction,and X-ray photoelectron spectroscopy,respectively.The experimental results show that the films are amorphous,there are only Ti^4+ and Ce^4+ on the surface of the films,the obtained TiO2-CeO2 films shou a good uniformity and high densification,and the films deposited on the glass can shield ultraviolet light without significant absorpition of visible light,the films deposited on substrates at room temperature and 220℃ absorb UV effectively. 展开更多
关键词 rf sputtering TiO2-CeO2 films ultraviolet-shielding coating glass substrate temperatures
下载PDF
EFFECT OF SUBSTRATE TEMPERATURE ON Y-Ba-Cu-O THIN FILMS IN SITU GROWTH BY MOCVD
11
作者 W. Tao. X. K. Zhang, R. Wang, G. R. Bai Shanghai Institute of Metallurgy, Academia Sinica, Shanghai 200050, China 《真空科学与技术学报》 EI CAS CSCD 1992年第Z1期179-182,共4页
Superconducting thin films of YBa<sub>2</sub>Cu<sub>3</sub>O<sub>7-x</sub>(Y-Ba-Cu-O) with Tc more than 85K have been deposited in situ by metalorganic chemical vapor deposition ... Superconducting thin films of YBa<sub>2</sub>Cu<sub>3</sub>O<sub>7-x</sub>(Y-Ba-Cu-O) with Tc more than 85K have been deposited in situ by metalorganic chemical vapor deposition (MOCVD) on yttria stabilized zirconia(YSZ) substrates. The relationship of film orientation on substrate temperature and the lowest formation temperature region of superconducting phase have been obtained after changing the substrate temperature. The epitaxial relation between Y-Ba-Cu-O films and the YSZ su bstrates were discussed. 展开更多
关键词 EFFECT OF substrate temperature ON Y-Ba-Cu-O THIN FILMS IN SITU GROWTH BY MOCVD TEM In FIGURE Ba Cu
下载PDF
Effects of Substrate Temperature on Properties of Transparent Conductive Ta-Doped TiO_2 Films Deposited by Radio-Frequency Magnetron Sputtering
12
作者 刘洋 彭茜 +1 位作者 周仲品 杨光 《Chinese Physics Letters》 SCIE CAS CSCD 2018年第4期113-117,共5页
Ta-doped titanium dioxide films are deposited on fused quartz substrates using the rf magnetron sputtering technique at different substrate temperatures. After post-annealing at 550℃ in a vacuum, all the films are cr... Ta-doped titanium dioxide films are deposited on fused quartz substrates using the rf magnetron sputtering technique at different substrate temperatures. After post-annealing at 550℃ in a vacuum, all the films are crystallized into the polycrystalline anatase TiO2 structure. The effects of substrate temperature from room temperature up to 350℃ on the structure, morphology, and photoelectric properties of Ta-doped titanium dioxide films are analyzed. The average transmittance in the visible region(400-800 nm) of all films is more than 73%.The resistivity decreases firstly and then increases moderately with the increasing substrate temperature. The polycrystalline film deposited at 150℃ exhibits a lowest resistivity of 7.7 × 10^-4Ω·cm with the highest carrier density of 1.1×10^21 cm^-3 and the Hall mobility of 7.4 cm^2·V^-1s^-1. 展开更多
关键词 TA Effects of substrate temperature on Properties of Transparent Conductive Ta-Doped TiO2 Films Deposited by Radio-Frequency Magnetron Sputtering TIO
下载PDF
Reactive magnetron sputtering of germanium carbide films at different substrate temperature
13
作者 胡超权 王艳辉 +1 位作者 郭龙飞 郑伟涛 《Journal of Harbin Institute of Technology(New Series)》 EI CAS 2010年第3期427-430,共4页
To explore the relationship between the chemical bonding and mechanical properties for germanium carbide (Ge1-xCx) films,the Ge1-xCx films are prepared via reactive magnetron sputtering in a mixture of CH4/Ar discharg... To explore the relationship between the chemical bonding and mechanical properties for germanium carbide (Ge1-xCx) films,the Ge1-xCx films are prepared via reactive magnetron sputtering in a mixture of CH4/Ar discharge,and their composition,chemical bonding and hardness were investigated as a function of substrate temperature (Ts). The results show that Ts remarkably influences the chemical bonding of Ge1-xCx film,which results in a pronounced change in the film hardness. As Ts increases from ambient (60 ℃) to 500 ℃,the Ge content in the film gradually increases,which promotes forming sp3 C-Ge bonds in the film at the expense of sp2C-C bonds. Furthermore,it is found that with increasing Ts the fraction of C-H bonds in Ge1-xCx film gradually decreases,which is attributed to an enhancement in the desorption rate of C-Hn(n=1,2,3) species decomposed from methane. The transition from graphite-like sp2 C-C to diamond-like sp3C-Ge bonds as well as the reduction in C-H bonds in the film with increasing Ts promotes forming the compact three-dimensional network structure,which significantly enhances the hardness of the film from 5.8 to 10.1 GPa. 展开更多
关键词 germanium carbide films reactive magnetron sputtering substrate temperature
下载PDF
Deposition of Amorphous Carbon Films using ECR Plasma byVarying the Substrate Temperature
14
作者 宁兆元 马春兰 +3 位作者 程珊华 康健 辛煜 叶超 《Plasma Science and Technology》 SCIE EI CAS CSCD 1999年第1期47-55,共9页
Amorphous hydrogenated carbon thin films have been deposited with benzene plasma in an electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition system. The characteristic of Benzene discharge plas... Amorphous hydrogenated carbon thin films have been deposited with benzene plasma in an electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition system. The characteristic of Benzene discharge plasma has been monitored by Mast spectrometry. It shows that the majority of the plasma species in the downstream ECR Plasma with benzene as gas source are acetylene, ethylene and higher mass species. In the experiments, the effects of the substrate temperature on the deposition rates have been emphatically studied. The structures of the films were analyzed by FTIR and Ramam spectrum.The results show that when the substrate temperature rises, the deposition rate drops down, the hydrogen Foment decreases, with the higher SP3 content being presented in the film. 展开更多
关键词 ECR Deposition of Amorphous Carbon Films using ECR Plasma byVarying the substrate temperature CM
下载PDF
Influence of the preheated substrate temperature on the microstructure deposition behavior of the 304 stainless steel coatings deposited by cold gas dynamic spraying
15
作者 MENG Xianming ZHANG Junbao +1 位作者 LIANG Yongli ZHAO Jie 《Baosteel Technical Research》 CAS 2011年第1期35-40,共6页
The effects of the substrate temperature on the deposition and microstructure of the 304 stainless steel (SS) cold gas dynamic spraying (CGDS) coatings were investigated. It was found that the higher substrate tem... The effects of the substrate temperature on the deposition and microstructure of the 304 stainless steel (SS) cold gas dynamic spraying (CGDS) coatings were investigated. It was found that the higher substrate temperature could increase the deposition rate of the 304 SS particles,even the oxide films existed at the interface. There was a critical oxide film with a thickness of 3 -4 um which could be destroyed by the impacted particles. The micro-hardness and microstructure of the 304 SS coatings under different substrate preheating temperatures were almost the same. 展开更多
关键词 cold gas dynamic spraying (CGDS) substrate temperature DEPOSITION 304 stainless steel (SS)
下载PDF
Structural and physical properties of permalloy thin films prepared by DC magnetron sputtering at different substrate temperature
16
作者 FengpingWang PingWu +4 位作者 HongQiu LiqingPan HuanpingLiu YueTian ShengLuo 《Journal of University of Science and Technology Beijing》 CSCD 2004年第1期30-34,共5页
Permalloy Ni_(80)Fe_(20) films have been grown on thermal oxidized Si (111)wafers by magnetron sputtering at well-controlled substrate temperatures of 300, 500, 640 and 780 Kin 0.65 Pa argon pressure. The base pressur... Permalloy Ni_(80)Fe_(20) films have been grown on thermal oxidized Si (111)wafers by magnetron sputtering at well-controlled substrate temperatures of 300, 500, 640 and 780 Kin 0.65 Pa argon pressure. The base pressure was about 1x10^(-4) Pa. The deposition rate was about 5nm/min for all the films. The structure of the films was studied using X-ray diffraction, scanningelectron microscopy and atomic force microscopy. The composition of the films was analyzed usingscanning Auger microprobe. The resistance and magnetoresistance of the films were measured usingfour-point probe technique. The results show that the content of oxygen in the films decreasesgradually with raising substrate temperature. In addition, the surface morphology of the filmspresents notable change with the increasing of the substrate temperature; the residual gases anddefects decrease and the grains have coalesced evidently, and then the grains have grown upobviously and the texture of (111) orientation develops gradually in the growing film. As a result,the resistivity reduces apparently and magnetoresistance ratio increases markedly with raisingsubstrate temperature. 展开更多
关键词 permalloy film substrate temperature residual gases resistance andmagnetoresistance
下载PDF
Effect of substrate temperature on the stability of transparent conducting cobalt doped ZnO thin films 被引量:8
17
作者 Said Benramache Boubaker Benhaoua Foued Chabane 《Journal of Semiconductors》 EI CAS CSCD 2012年第9期18-21,共4页
Transparent conducting Co doped ZnO thin films have been fabricated by Ultrasonic spray. The thin films were deposited at three different substrate temperatures of 300, 350 and 400 ℃. The obtained films had a hexagon... Transparent conducting Co doped ZnO thin films have been fabricated by Ultrasonic spray. The thin films were deposited at three different substrate temperatures of 300, 350 and 400 ℃. The obtained films had a hexagonal wurtzite structure with a strong (002) preferred orientation. The maximum crystallite size value of the film deposited at 350 ℃ is 55.46 nm. Spectrophotometer (UV-vis) of a Co doped ZnO film deposited at 350 ℃ shows an average transmittance of about 90%. The band gap energy increased from 3.351 to 3.362 eV when the substrate temperature increased from 300 to 350 ℃. The electrical conductivity of the films deposited at 300, 350 and 400 ℃ were 7.424, 7.547 and 6.743 (Ω·cm)^-1 respectively. The maximum activation energy value of the films at 350 ℃ was 1.28 eV, indicating that the films exhibit a n-type semiconducting nature. 展开更多
关键词 ZnO:Co films transparent conducting films ultrasonic spray deposition substrate temperature band gap energy
原文传递
Influence of in-flight particle characteristics and substrate temperature on the formation mechanisms of hypereutectic Al-Si-Cu coatings prepared by supersonic atmospheric plasma spraying 被引量:3
18
作者 Peng-fei He Guo-zheng Ma +8 位作者 Hai-dou Wang Ling Tang Ming Liu Yu Bai Yu Wang Jian-jiang Tang Dong-yu He Hai-chao Zhao Tian-yang Yu 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2021年第28期216-233,共18页
Hypereutectic Al-Si-Cu coatings were prepared by supersonic atmospheric plasma spraying to enhance the surface performance of lightweight alloys.To find out optimum process conditions and achieve desirable coatings,th... Hypereutectic Al-Si-Cu coatings were prepared by supersonic atmospheric plasma spraying to enhance the surface performance of lightweight alloys.To find out optimum process conditions and achieve desirable coatings,this work focuses on the influence of three important parameters(in-flight particle temperature,impact velocity,and substrate temperature)on the collected splats morphology coatings microstructure and microhardness.Results show that appropriate combinations of temperature and velocity of in-flight particles cannot only completely melt hypereutectic Al-Si-Cu particles especially the primary Si phase,but also provide the particles with sufficient kinetic energy.Thus,the optimized coating consists of 98.6%of fully-melted region with nanosized coupled eutectic and 0.9%of porosity.Increasing the substrate deposition temperature promotes the transition from inhomogeneous banded microstructure to homogeneous equiaxed microstructure with a lower porosity level.The observations are further interpreted by a newly developed phase-change heat transfer model on quantitatively revealing the solidification and remelting behaviors of several splats deposited on substrate Besides,phase evolutions including the formation of supersaturatedα-Al matrix solid solution,growth of Si and Al_(2)Cu phases at different process conditions are elaborated.An ideal microstructure(low fractions of unmelted/partially-melted regions and defects)together with solid solution,grain refinement and second phase strengthening effects contributes to the enhanced microhardness of coating.This integrated study not only provides a framework for optimizing Al-Si based coatings via thermal spraying but also gives valuable insights into the formation mechanisms of this class of coating materials. 展开更多
关键词 Thermal sprayed coatings Al-Si alloys In-flight particle characteristics substrate temperature Process control
原文传递
Substrate Temperature Dependent Properties of Cu Doped NiO Films Deposited by DC Reactive Magnetron Sputtering 被引量:2
19
作者 Yarraguntla Ashok Kumar Reddy Akepati Sivasankar Reddy Pamanji Sreedhara Reddy 《Journal of Materials Science & Technology》 SCIE EI CAS CSCD 2013年第7期647-651,共5页
The NiO-Cu composite films were deposited on a glass substrate at various substrate temperatures by DC reactive magnetron sputtering technique. The effect of substrate temperature on the structural, optical, morpholog... The NiO-Cu composite films were deposited on a glass substrate at various substrate temperatures by DC reactive magnetron sputtering technique. The effect of substrate temperature on the structural, optical, morphological and electrical properties of the films was mainly investigated. X-ray diffraction studies revealed that when the substrate temperature increased to above 200 ℃, the preferred orientation tended to move to another preferred site from (220) to (111) and had a band gap values increased with increasing substrate observed that the grain size and root mean square stable cubic structure. The optical transmittance and temperature. From the morphological studies, it was roughness were increased with increasing substrate temperature. The electrical resistivity of the film decreased to 0.017 Ωcm at high substrate temperature of 400 ℃. 展开更多
关键词 SPUTTERING NiO-Cu composite films substrate temperature Crystallite size Electrical resistivity
原文传递
Structural and optoelectronic properties of sprayed Sb:SnO_2 thin films:Effects of substrate temperature and nozzle-to-substrate distance 被引量:2
20
作者 A.R.Babar S.S.Shinde +2 位作者 A.V.Moholkar C.H.Bhosale K.Y.Rajpure 《Journal of Semiconductors》 EI CAS CSCD 北大核心 2011年第10期1-9,共9页
The influence of substrate temperature and nozzle-to-substrate distance(NSD) on the structural,morphological, optical and electrical properties of Sb:SnO_2 thin films prepared by chemical spray pyrolysis has been a... The influence of substrate temperature and nozzle-to-substrate distance(NSD) on the structural,morphological, optical and electrical properties of Sb:SnO_2 thin films prepared by chemical spray pyrolysis has been analyzed.The structural,morphological,optical and electrical properties were characterized by using XRD,SEM, UV-visible spectrophotometry and Hall effect measurement techniques.It was seen that the films are polycrystalline, having a tetragonal crystal structure with strong orientation along the(200) reflection.The pyramidal crystallites formed due to coalescence were observed from SEM images.The values of highest conductivity,optical transmittance and figure of merit of about 1449(Ω·cm)^(-1),70%and 5.2×10^(-3)□/Ω,respectively,were observed for a typical film deposited using optimal conditions(substrate temperature = 500℃and NSD = 30 cm). 展开更多
关键词 substrate temperature NSD STRUCTURAL optoelectronic properties
原文传递
上一页 1 2 3 下一页 到第
使用帮助 返回顶部