期刊文献+
共找到52篇文章
< 1 2 3 >
每页显示 20 50 100
Study of testability measurement method for equipment based on Bayesian network model 被引量:6
1
作者 Lian Guangyao Huang Kaoli Chen Jianhui Wei Zhonglin 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2009年第5期1017-1023,共7页
To analyze and evaluate the testability design of equipment, a testability analysis method based on Bayesian network inference model is proposed in the paper. The model can adequately apply testability information and... To analyze and evaluate the testability design of equipment, a testability analysis method based on Bayesian network inference model is proposed in the paper. The model can adequately apply testability information and many uncertainty information of design and maintenance process, so it can analyze testability by and large from Bayesian inference. The detailed procedure to analyze and evaluate testability for equipments by Bayesian network is given in the paper. Its modeling process is simple, its formulation is visual, and the analysis results are more reliable than others. Examples prove that the analysis method based on Bayesian network inference can be applied to testability analysis and evaluation for complex equipments. 展开更多
关键词 design for testability testability analysis and evaluation uncertainty information Bayesian network
下载PDF
Generalized Testability Scheme Modeling of Materiel System Based on Information Ontology
2
作者 陈希祥 姜云春 《Journal of Donghua University(English Edition)》 EI CAS 2016年第2期308-313,共6页
A mode of ontology-based information integration and management( OIIM) for testability scheme was proposed through expatiating on the connotation of the system testability scheme.Aiming at the complexity of influencin... A mode of ontology-based information integration and management( OIIM) for testability scheme was proposed through expatiating on the connotation of the system testability scheme.Aiming at the complexity of influencing factors in optimal design procedure of the testability scheme, the information of concept entities,concept attributions and concept relationships was analyzed and extracted,and then the testability scheme information ontology( TSIO) was built and coded via web ontology language( OWL).Based on the information ontology, the generalized model for testability scheme( GMTS) was founded by defining transformation rules. The primary study shows that the mode of OIIM for testability scheme can make up the deficiencies in knowledge representation and reasoning existing in traditional information models,and achieve the information share and reuse. It provides the effectual model basis for the optimal design of the testability scheme. 展开更多
关键词 testability scheme information ontology SEMANTIC web ontology language(OWL) generalized model for testability scheme(GMTS)
下载PDF
Failure Mode Effects and Criticality Analysis Method of Armored Equipment Based on Testability Growth
3
作者 曹艳华 郭金茂 吕会强 《Journal of Donghua University(English Edition)》 EI CAS 2018年第3期252-255,共4页
In view of the low level testability of armored equipment,the important significance of armored equipment testability growth is discussed in this paper.The failure mode effects and criticality analysis( FMECA) method ... In view of the low level testability of armored equipment,the important significance of armored equipment testability growth is discussed in this paper.The failure mode effects and criticality analysis( FMECA) method to realize testability growth is introduced.Centering on the testability growth demands of new armored equipment,the deficiencies of traditional FMECA are analyzed.And an enhanced FMECA( EFMECA) method is proposed.The method increases the analysis contents,combines the information before the failure occurrence and impending failure modes together organically.Then the failure symptoms is analyzed,the failure modes and effects is determined,and the state development trend is predicted.Finally,the application of EFMECA method is illustrated by the example of the failure mode of typical armored equipment engine. 展开更多
关键词 testability growth armored equipment the failure mode effects and criticality analysis(FMECA) design of testability
下载PDF
Optimizing reliability, maintainability and testability parameters of equipment based on GSPN 被引量:3
4
作者 Tingpeng Li Yue Li +1 位作者 Yanling Qian Yongcheng Xu 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2015年第3期633-643,共11页
Reliability, maintainability and testability (RMT) are important properties of equipment, since they have important influ- ence on operational availability and life cycle costs (LCC). There- fore, weighting and op... Reliability, maintainability and testability (RMT) are important properties of equipment, since they have important influ- ence on operational availability and life cycle costs (LCC). There- fore, weighting and optimizing the three properties are of great significance. A new approach for optimization of RMT parameters is proposed. First of all, the model for the equipment operation pro- cess is established based on the generalized stochastic Petri nets (GSPN) theory. Then, by solving the GSPN model, the quantitative relationship between operational availability and RMT parameters is obtained. Afterwards, taking history data of similar equipment and operation process into consideration, a cost model of design, manufacture and maintenance is developed. Based on operational availability, the cost model and parameters ranges, an optimization model of RMT parameters is built. Finally, the effectiveness and practicability of this approach are validated through an example. 展开更多
关键词 RELIABILITY MAINTAINABILITY testability optimization cost model operational availability.
下载PDF
Hierarchical hybrid testability modeling and evaluation method based on information fusion 被引量:3
5
作者 Xishan Zhang Kaoli Huang +1 位作者 Pengcheng Yan Guangyao Lian 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2015年第3期523-532,共10页
In order to meet the demand of testability analysis and evaluation for complex equipment under a small sample test in the equipment life cycle, the hierarchical hybrid testability model- ing and evaluation method (HH... In order to meet the demand of testability analysis and evaluation for complex equipment under a small sample test in the equipment life cycle, the hierarchical hybrid testability model- ing and evaluation method (HHTME), which combines the testabi- lity structure model (TSM) with the testability Bayesian networks model (TBNM), is presented. Firstly, the testability network topo- logy of complex equipment is built by using the hierarchical hybrid testability modeling method. Secondly, the prior conditional prob- ability distribution between network nodes is determined through expert experience. Then the Bayesian method is used to update the conditional probability distribution, according to history test information, virtual simulation information and similar product in- formation. Finally, the learned hierarchical hybrid testability model (HHTM) is used to estimate the testability of equipment. Compared with the results of other modeling methods, the relative deviation of the HHTM is only 0.52%, and the evaluation result is the most accu rate. 展开更多
关键词 small sample complex equipment hierarchical hybrid information fusion testability modeling and evaluation.
下载PDF
Low overhead design-for-testability for scan-based delay fault testing 被引量:3
6
作者 Yang Decai Chen Guangju Xie Yongle 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 2007年第1期40-44,共5页
An efficient design-for-testability (DFT) technique is proposed to achieve low overhead for scan-based delay fault testing. Existing techniques for delay test such as skewed-load or broadside make the test generatio... An efficient design-for-testability (DFT) technique is proposed to achieve low overhead for scan-based delay fault testing. Existing techniques for delay test such as skewed-load or broadside make the test generation process complex and produce lower coverage for scan-based designs as compared with non-scan designs, whereas techniques such as enhanced-scan test can make the test easy but need an extra holding latch to add substantial hardware overhead. A new tri-state holding logic is presented to replace the common holding latch in enhanced-scan test to get a substantial low hardware overhead. This scheme can achieve low delay overhead by avoiding the holding latch on the critical timing scan path. What's more, this method can also keep the state and signal activity in the combinational circuit from the scan during data scan-in operation to reduce the power dissipation. Experiment results on a set of ISCAS89 benchmarks show the efficiency of the proposed scheme. 展开更多
关键词 Delay fault testing Design for testability Enhanced scan
下载PDF
A DFT Method for Single-Control Testability of RTL Data Paths for BIST
7
作者 Toshimitsu Masuzawa Minoru lzutsu +1 位作者 Hiroki Wada Hideo Fujiwara 《湖南大学学报(自然科学版)》 EI CAS CSCD 2000年第S2期52-60,共9页
This paper presents a new BIST method for RTL data paths based on single-control testability, a new concept of testability. The BIST method adopts hierarchical test. Test pattern generators are placed only on primary ... This paper presents a new BIST method for RTL data paths based on single-control testability, a new concept of testability. The BIST method adopts hierarchical test. Test pattern generators are placed only on primary inputs and test patterns are propagated to and fed into each module. Test responses are similarly propagated to response analyzers placed only on primary outputs. For the propagation of test patterns and test responses paths existing in the data path are utilized. The DFT method for the single-control testability is also proposed. The advantages of the proposed method are high fault coverage (for single Stuck-at faults), low hardware overhead and capability of at-speed test. Moreover, test patterns generated by test pattern generators can be fed into each module at consecutive system clocks, and thus, the BIST can also detect some faults of other fault models (e.g., transition faults and delay faults) that require consecutive application of test patterns at speed of system clock. 展开更多
关键词 built-in self-test design for testability RTL data path hierarchical test
下载PDF
An Approach to the Fault Diagnosis and Testability in Analog Circuits at Module Level
8
作者 Yang Jiawei (Beijing Institute of Remote Sensing Equipment, Beijing 100854, PRC)Yang Shiyuan and Tong.Shibai(Department of Automation, Tsinghua University, Beijing 100084, PRC) 《Journal of Systems Engineering and Electronics》 SCIE EI CSCD 1994年第2期26-40,共15页
In this paper, a module level fault diagnosis method is presented which considers multi-port device or subnetwork as the basic unit. The fault model in this method is quite similar to an actual condition,hence it has ... In this paper, a module level fault diagnosis method is presented which considers multi-port device or subnetwork as the basic unit. The fault model in this method is quite similar to an actual condition,hence it has practical meaning. The equations of moedule level fault diagnosis are derived, and thetestability problem for module-fault diagnosis is discussed in general. The paper then gives severaltoplolgical conditions for module-fault testubility, which are applicable to a general nonreciprocal network by introducing a generalized independent path. 展开更多
关键词 Fault diagnosis MODULE testability Topological condition. Independent path.
下载PDF
ADTEM-Architecture Design Testability Evaluation Model to Assess Software Architecture Based on Testability Metrics
9
作者 Amjad Hudaib Fawaz Fawaz Al-Zaghoul +1 位作者 Maha Saadeh Huda Saadeh 《Journal of Software Engineering and Applications》 2015年第4期201-210,共10页
Architectural design is a crucial issue in software engineering. It makes testing more effective as it contribute to carry out the testing in an early stage of the software development. To improve software testability... Architectural design is a crucial issue in software engineering. It makes testing more effective as it contribute to carry out the testing in an early stage of the software development. To improve software testability, the software architect should consider different testability metrics while building the software architecture. The main objective of this research is to conduct an early assessment of the software architecture for the purpose of its improvement in order to make the testing process more effective. In this paper, an evaluation model to assess software architecture (Architecture Design Testability Evaluation Model (ADTEM)) is presented. ADTEM is based on two different testability metrics: cohesion and coupling. ADTEM consists of two phases: software architecture evaluation phase, and component evaluation phase. In each phase, a fuzzy inference system is used to perform the evaluation process based on cohesion and coupling testing metrics. The model is validated by using a case study: Elders Monitoring System. The experimental results show that ADTEM is efficient and gave a considerable improvement to the software testability process. 展开更多
关键词 SOFTWARE testability testability Metrics SOFTWARE Architecture Evaluation SOFTWARE COHESION SOFTWARE COUPLING Fuzzy INFERENCE System
下载PDF
Metric Based Testability Estimation Model for Object Oriented Design: Quality Perspective
10
作者 Mahfuzul Huda Yagya Dutt Sharma Arya Mahmoodul Hasan Khan 《Journal of Software Engineering and Applications》 2015年第4期234-243,共10页
The quality factor of class diagram is critical because it has significant influence on overall quality of the product, delivered finally. Testability has been recognized as a key factor to software quality. Estimatin... The quality factor of class diagram is critical because it has significant influence on overall quality of the product, delivered finally. Testability has been recognized as a key factor to software quality. Estimating testability at design stage is a criterion of crucial significance for software designers to make the design more testable. Taking view of this fact, this paper identifies testability factors namely effectiveness and reusability and establishes the correlation among testability, effectiveness and reusability and justifies the correlation with the help of statistical measures. Moreover study developed metric based testability estimation model and developed model has been validated using experimental test. Subsequently, research integrates the empirical validation of the developed model for high level acceptance. Finally a hypothesis test performs by the two standards to test the significance of correlation. 展开更多
关键词 testability testability Model EFFECTIVENESS REUSABILITY testability FACTORS Design Phase
下载PDF
Treating NFR as First Grade for Its Testability
11
作者 Pratima Singh Anil Kumar Tripathi 《Journal of Software Engineering and Applications》 2012年第12期991-1000,共10页
Practitioners and researchers in the field of software engineering have realized that Non Functional requirement have not received due attention and second grade (or no) treatment has been meted out to Non Functional ... Practitioners and researchers in the field of software engineering have realized that Non Functional requirement have not received due attention and second grade (or no) treatment has been meted out to Non Functional Requirements. Many software products/systems are finally not acceptable because of such an approach. This casual approach of treating NFR has moved on to Testing also. Testing of NFR has never been taken seriously. Here in this work, we attempt to under- stand what needs to be done for proper consideration of NFR, so that they are treated as seriously as the Functional Requirements. In an attempt to treat NFR as seriously as FR we work on the testability of NFR by refining an abstract quality concern into concrete NFR statements. We show that quality concerns needs to be analyzed, for identifying and finally converting them into appropriate and unambiguous NFR. Once a high quality of NFR is ensured then the consequent testing of these NFRs will become as effective as that of Functional Requirement. We finally propose a revised model of Problem Analysis and Requirement Specification. A step wise refinement model for quality concern into test- able Non Functional Requirement is also proposed. 展开更多
关键词 Non Functional Requirements (NFR) testability REQUIREMENT Analysis GOAL REFINEMENT SCENARIO Based Testing
下载PDF
Testability Guidance Using a Process Modeling
12
作者 Zuhoor Al-Khanjari Naoufel Kraiem 《Journal of Software Engineering and Applications》 2013年第12期645-652,共8页
Software testability took a lot of interests of software community. Indeed, this concept has been interpreted in a variety of ways. One interpretation is concerned with the extent of the modifications a program compon... Software testability took a lot of interests of software community. Indeed, this concept has been interpreted in a variety of ways. One interpretation is concerned with the extent of the modifications a program component requires, so that the entire behavior of the component is observable and controllable. Another interpretation is the ease with which faults, if present in a program, can be revealed and estimated by the testing process and the propagation, infection and execution (PIE) model. It has been suggested that this particular interpretation of testability might be linked with two concepts: 1) the metric domain-to-range ratio (DRR), i.e. the ratio of the cardinality of the set of all inputs (the domain) to the cardinality of the set of all outputs (the range) and 2) the semantic fault size. First, this paper describes the connections between 1) the domain-to-range ratio and the observability and controllability aspects of testability and 2) the PIE model and fault size. The main goal of the work described here, is to seek greater understanding of testability in general and, ultimately, to find easier ways of determining it. Second, in this paper we try to model the PIE estimation using formalism for process representation system which is MAP formalism. We also use this process model to elaborate and to present the relationship between testability, PIE, DRR and fault size. Our aim is to enhance the guidance mechanisms of the process execution. After clarifying the existing relationship between semantic fault and testability, we improve the MAP model by adding qualitative criteria. We then offer a way to express maps to offer an automatic guidance of the map. 展开更多
关键词 testability OBSERVABILITY CONTROLLABILITY Domain-to-Range Ratio FAULT Size METHOD Engineering Situational METHOD Process Representation MAP
下载PDF
Testability Models for Object-Oriented Frameworks
13
作者 Divya Ranjan Anil Kumar Tripathi 《Journal of Software Engineering and Applications》 2010年第6期536-540,共5页
Frameworks are time-tested highly reusable architectural skeleton structures. They are designed ‘abstract’ and ‘inco- mplete’ and are designed with predefined points of variability, known as hot spots, to be custo... Frameworks are time-tested highly reusable architectural skeleton structures. They are designed ‘abstract’ and ‘inco- mplete’ and are designed with predefined points of variability, known as hot spots, to be customized later at the time of framework reuse. Frameworks are reusable entities thus demand stricter and rigorous testing in comparison to one- time use application. The overall cost of framework development may be reduced by designing frameworks with high testability. This paper aims at discussing a few metric models for testability analysis of object-oriented frameworks in an attempt to having quantitative data on testability to be used to plan and monitor framework testing activities so that the framework testing effort and hence the overall framework development effort may be brought down. 展开更多
关键词 OBJECT-ORIENTED Frameworks COMPLEXITY Framelet-Based DESIGN and testability
下载PDF
Variability-Based Models for Testability Analysis of Frameworks
14
作者 Divya Ranjan Anil Kumar Tripathi 《Journal of Software Engineering and Applications》 2010年第5期455-459,共5页
Frameworks are developed to capture the recurring design practices in terms of skeletons of software subsystems/ systems. They are designed ‘abstract’ and ‘incomplete’ and are designed with predefined points of va... Frameworks are developed to capture the recurring design practices in terms of skeletons of software subsystems/ systems. They are designed ‘abstract’ and ‘incomplete’ and are designed with predefined points of variability, known as hot spots, to be customized later at the time of framework reuse. Frameworks are reusable entities thus demand stricter and rigorous testing in comparison to one-time use application. It would be advisable to guaranty the production of high quality frameworks without incurring heavy costs for their rigorous testing. The overall cost of framework development may be reduced by designing frameworks with high testability. This paper aims at discussing various metric models for testability analysis of frameworks in an attempt to having quantitative data on testability to be used to plan and monitor framework testing activities so that the framework testing effort and hence the overall framework development effort may be brought down. The models considered herein particularly consider that frameworks are inherently abstract and variable in nature. 展开更多
关键词 OBJECT-ORIENTED Frameworks VARIABILITY Customizability and testability
下载PDF
Quantifying Reusability of Object Oriented Design: A Testability Perspective
15
作者 Mahfuzul Huda Yagya Dutt Sharma Arya Mahmoodul Hasan Khan 《Journal of Software Engineering and Applications》 2015年第4期175-183,共9页
The quality factor of class diagram is critical because it has a significant influence on overall quality of the product, delivered finally. Testability analysis, when done early in the software creation process, is a... The quality factor of class diagram is critical because it has a significant influence on overall quality of the product, delivered finally. Testability analysis, when done early in the software creation process, is a criterion of critical importance to software quality. Reusability is an important quality factor to testability. Its early measurement in object oriented software especially at design phase, allows a design to be reapplied to a new problem without much extra effort. This research paper proposes a research framework for quantification process and does an extensive review on reusability of object oriented software. A metrics based model “Reusability Quantification of Object Oriented Design” has been proposed by establishing the relationship among design properties and reusability and justifying the correlation with the help of statistical measures. Also, “Reusability Quantification Model” is empirically validated and contextual significance of the study shows the high correlation for model acceptance. This research paper facilitates to software developers and designer, the inclusion of reusability quantification model to access and quantify software reusability for quality product. 展开更多
关键词 REUSABILITY testability OBJECT ORIENTED DESIGN DESIGN Metrics OBJECT ORIENTED SOFTWARE SOFTWARE Quality Model SOFTWARE Testing Effort
下载PDF
A Non-scan DFT Method at RTL Based on Fixed-control Testability to Achieve 100%Fault Efficiency
16
作者 Satoshi Ohtake Shintaro Nagai +1 位作者 Hiroki Wada Hideo Fujiwara 《湖南大学学报(自然科学版)》 EI CAS CSCD 2000年第S2期61-77,共17页
This paper proposes a non-scan design-for-testability method for register-transfer level circuits where a circuit consists of a controller and a data path. It achieves complete fault efficiency with low hardware overh... This paper proposes a non-scan design-for-testability method for register-transfer level circuits where a circuit consists of a controller and a data path. It achieves complete fault efficiency with low hardware overhead and at-speed testing. 展开更多
关键词 Non-Scan Testable Design RTL Circuit
下载PDF
A novel approach of testability modeling and analysis for PHM systems based on failure evolution mechanism 被引量:14
17
作者 Tan Xiaodong Qiu Jing +3 位作者 Liu Guanjun Lv Kehong Yang Shuming Wang Chao 《Chinese Journal of Aeronautics》 SCIE EI CAS CSCD 2013年第3期766-776,共11页
Prognostics and health management (PHM) significantly improves system availability and reliability, and reduces the cost of system operations. Design for testability (DFT) developed concurrently with system design... Prognostics and health management (PHM) significantly improves system availability and reliability, and reduces the cost of system operations. Design for testability (DFT) developed concurrently with system design is an important way to improve PHM capability. Testability modeling and analysis are the foundation of DFT. This paper proposes a novel approach of testability modeling and analysis based on failure evolution mechanisms. At the component level, the fault progression-related information of each unit under test (UUT) in a system is obtained by means of failure modes, evolution mechanisms, effects and criticality analysis (FMEMECA), and then the failure-symptom dependency can be generated. At the system level, the dynamic attributes of UUTs are assigned by using the bond graph methodology, and then the symptom-test dependency can be obtained by means of the functional flow method. Based on the failure-symptom and symptom-test dependencies, testability analysis for PHM systems can be realized. A shunt motor is used to verify the application of the approach proposed in this paper. Experimental results show that this approach is able to be applied to testability modeling and analysis for PHM systems very well, and the analysis results can provide a guide for engineers to design for testability in order to improve PHM performance. 展开更多
关键词 Design for testability Failure evolution mechanism Failure-symptom dependency Prognostics and health management Symptom-test dependency testability modeling and analysis Unit under test
原文传递
GLOBAL: A Design for Random Testability Algorithm
18
作者 向东 魏道政 《Journal of Computer Science & Technology》 SCIE EI CSCD 1994年第2期182-192,共11页
A global design for testability algorithm is offered in this paper. First, a test point candidate set is obtained to simplify the test point placemellt problem; the principle of selective tracing is offered to get a s... A global design for testability algorithm is offered in this paper. First, a test point candidate set is obtained to simplify the test point placemellt problem; the principle of selective tracing is offered to get a sequential test point placement solution, which is used as the initial solution of the global algorithm. Using this initial value, a branch & bound algorithm is then offered to obtain a global design for testability solution. Finally,a new test length analyser is offered to evaluate the global design for testability. 展开更多
关键词 Test point testability test length design for testability
原文传递
Testability evaluation using prior information of multiple sources 被引量:9
19
作者 Wang Chao Qiu Jing +1 位作者 Liu Guanjun Zhang Yong 《Chinese Journal of Aeronautics》 SCIE EI CAS CSCD 2014年第4期867-874,共8页
Testability plays an important role in improving the readiness and decreasing the lifecycle cost of equipment. Testability demonstration and evaluation is of significance in measuring such testability indexes as fault... Testability plays an important role in improving the readiness and decreasing the lifecycle cost of equipment. Testability demonstration and evaluation is of significance in measuring such testability indexes as fault detection rate(FDR) and fault isolation rate(FIR), which is useful to the producer in mastering the testability level and improving the testability design, and helpful to the consumer in making purchase decisions. Aiming at the problems with a small sample of testability demonstration test data(TDTD) such as low evaluation confidence and inaccurate result, a testability evaluation method is proposed based on the prior information of multiple sources and Bayes theory. Firstly, the types of prior information are analyzed. The maximum entropy method is applied to the prior information with the mean and interval estimate forms on the testability index to obtain the parameters of prior probability density function(PDF), and the empirical Bayesian method is used to get the parameters for the prior information with a success-fail form. Then, a parametrical data consistency check method is used to check the compatibility between all the sources of prior information and TDTD. For the prior information to pass the check, the prior credibility is calculated. A mixed prior distribution is formed based on the prior PDFs and the corresponding credibility. The Bayesian posterior distribution model is acquired with the mixed prior distribution and TDTD, based on which the point and interval estimates are calculated.Finally, examples of a flying control system are used to verify the proposed method. The results show that the proposed method is feasible and effective. 展开更多
关键词 Bayes theory Consistency check Multiple sources Prior credibility Prior information testability evaluation
原文传递
Testability integrated evaluation method based on testability virtual test data 被引量:5
20
作者 Liu Guanjun Zhao Chenxu +1 位作者 Qiu Jing Zhang Yong 《Chinese Journal of Aeronautics》 SCIE EI CAS CSCD 2014年第1期85-92,共8页
Testability virtual test is a new test method for testability verification, which has the advantages such as low cost, few restrictions and large sample of test data. It can be used to make up the deficiency of testab... Testability virtual test is a new test method for testability verification, which has the advantages such as low cost, few restrictions and large sample of test data. It can be used to make up the deficiency of testability physical test. In order to take the advantage of testability virtual test data effectively and to improve the accuracy of testability evaluation, a testability integrated eval- uation method is proposed in this paper based on testability virtual test data. Considering the char- acteristic of testability virtual test data, the credibility analysis method for testability virtual test data is studied firstly. Then the integrated calculation method is proposed fusing the testability vir- tual and physical test data. Finally, certain helicopter heading and attitude system is presented to demonstrate the proposed method. The results show that the testability integrated evaluation method is feasible and effective. 展开更多
关键词 Data fusion Fault detection Integrated evaluation testability verification Virtual test
原文传递
上一页 1 2 3 下一页 到第
使用帮助 返回顶部